Shape Or Surface Configuration Patents (Class 356/601)
  • Publication number: 20090161117
    Abstract: A method and an apparatus are disclosed for scatterfield microscopical measurement. The method integrates a scatterometer and a bright-field microscope for enabling the measurement precision to be better than the optical diffraction limit. With the aforesaid method and apparatus, a detection beam is generated by performing a process on a uniform light using an LCoS (liquid crystal on silicon) or a DMD (digital micro-mirror device) which is to directed to image on the back focal plane of an object to be measured, and then scattered beams resulting from the detection beam on the object's surface are focused on a plane to form an optical signal which is to be detected by an array-type detection device. The detection beam can be oriented by the modulation device to illuminate on the object at a number of different angles, by which zero order or higher order diffraction intensities at different positions of the plane at different incident angles can be collected.
    Type: Application
    Filed: October 15, 2008
    Publication date: June 25, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: YING-JUI HUANG, CHENG-HSUAN LIN, FUH-YU CHANG
  • Publication number: 20090153878
    Abstract: A laser scanning microscope apparatus comprising, a controlling unit for obtaining height information at each scanning point of a sample to be examined by obtaining a relative distance that maximizes an intensity output from a photo-detecting unit, which is obtained when the sample to be examined is scanned with a light from a laser light source, when a relative distance is changed by a Z scanning unit, includes an arithmetic processing unit for obtaining a plurality of height profiles of one line acquired by scanning the sample to be examined in a state of light with the light defecting unit while shifting the plurality of height profiles of one line in a scanning direction by a predetermined amount, and for obtaining one profile by linking the plurality of height profiles.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 18, 2009
    Applicant: Olympus Corporation
    Inventor: Akihiro FUJII
  • Publication number: 20090153858
    Abstract: A device for determining the surface topology and associated color of a structure, such as a teeth segment, includes a scanner for providing depth data for points along a two-dimensional array substantially orthogonal to the depth direction, and an image acquisition means for providing color data for each of the points of the array, while the spatial disposition of the device with respect to the structure is maintained substantially unchanged. A processor combines the color data and depth data for each point in the array, thereby providing a three-dimensional color virtual model of the surface of the structure. A corresponding method for determining the surface topology and associated color of a structure is also provided.
    Type: Application
    Filed: February 19, 2009
    Publication date: June 18, 2009
    Applicant: Cadent Ltd.
    Inventor: Noam Babayoff
  • Publication number: 20090153877
    Abstract: A method for optically measuring a surface is described, in particular, for a surface having a spherical form and a high reflection of radiation. The surface is illuminated by at least one radiation source, as well as by at least one structured light source, in order to produce an illumination structure on the surface to be measured, and to then record the illumination structure using a camera; prior to measuring the surface, a coating being applied thereto in order to reduce the reflected radiation, the electrostatic coating principle being followed when applying the coating to the spherical surface. Thus, a method for optically measuring a surface is described, which provides for a coating to be uniformly deposited on the entire surface of the test object and to have a thickness of less than 0.01 mm.
    Type: Application
    Filed: November 8, 2006
    Publication date: June 18, 2009
    Applicant: MTU AERO ENGINES GMBH
    Inventors: Benjamin Grosskurth, Wilhelm Satzger
  • Patent number: 7548305
    Abstract: A system for providing shape determination of a planar or quasi-planar surface is disclosed. The system includes a number of targets located on the surface and one or more sensor devices. The sensor devices are configured to monitor the targets and obtain information relating to deflections normal to the plane of the surface. The sensor devices are mounted close to each other and the line-of-sight of each sensor device is at a shallow angle to the plane of the surface. The system further includes processing logic configured to execute a shape reconstruction algorithm based on the information to determine the shape of the surface.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: June 16, 2009
    Assignee: Lockheed Martin Corporation
    Inventors: Nelson Pedreiro, Roelof W. H. van Bezooijen, Larry Dewell
  • Patent number: 7545514
    Abstract: A pick and place machine includes a sensor disposed to acquire an image of a nozzle before a pick operation, and one or more images after the pick operation. Image analytics based upon these images reveal important characteristics that can be used to classify the pick operation. In some embodiments, a plurality of after-pick images are acquired at different poses (angular orientations).
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: June 9, 2009
    Assignee: CyberOptics Corporation
    Inventors: Swaminathan Manickam, John P. Konicek, David W. Duquette, Steven K. Case
  • Patent number: 7545515
    Abstract: A device for shaping objects by removal of material from the surface thereof with a pulsed laser beam and a deflecting device through which the laser beam is guided over the surface of the object. An optical device is provided for changing the distribution of the radiation intensity inside the laser beam cross section. After the passage of the laser beam through this optical device, the radiation intensity has a bell-shaped or Gaussian distribution, or a distribution similar to a bell-shaped or Gaussian distribution, in at least one cross-sectional direction through the laser beam.
    Type: Grant
    Filed: October 24, 2006
    Date of Patent: June 9, 2009
    Assignee: Carl Zeiss Meditec AG
    Inventors: Claus Goder, Thomas Hollerbach, Juergen Kuehnert, Eckhard Schroeder
  • Patent number: 7542135
    Abstract: The invention is a non contact laser inspection self centering device to inspect the counter sink portion of a counter sunk fastener hole on a surface. In detail, the self centering and seating device includes a combination laser transmitter and receiver for transmitting a laser bean across a surface and for receiving the return signal from the surface. A self centering device is attached to the combination laser transmitter and receiver for aligning the laser transmitter with the fastener hole such that the transmitted and received laser beam passes across the center of the counter sink portion of the fastener hole. A computer system is connected to the combination laser transmitter and receiver for analyzing the transmitted and returned laser signal from the surface and determining if the counter sink portion of the hole is within tolerance.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: June 2, 2009
    Assignee: Northrop Grumman Corporation
    Inventors: William T. Mead, Dennis Bowles
  • Patent number: 7541974
    Abstract: A method and system obtains precise survey-grade position data of target points in zones where precise GPS data cannot be obtained, due to natural or man-made objects such as foliage and buildings. The system comprises a GPS receiver mounted on a survey pole, with an inertial measurement unit (IMU) and an electronic distance meter (EDM) also mounted on the pole. The system and method obtains GPS data when outside the zone and uses the IMU inside the zone to traverse to a target point, using the EDM to obtain data reduce accumulated errors which occur with the IMU.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: June 2, 2009
    Assignee: Trimble Navigation Limited
    Inventor: Bruno Scherzinger
  • Publication number: 20090135433
    Abstract: This is an optical three-dimensional measurement device provided with observation illumination light for illuminating an observation specimen, an object lens for collecting the observation light on the observation specimen and a display unit for displaying an observation image and its measurement result that are obtained via the object lens. The optical three-dimensional measurement device comprises a filter process determination unit for determining a first filter process on the basis of observation conditions used when taking in a three-dimensional image of the observation specimen and a filter process unit for applying the first filter process determined by the filter process determination unit to the measurement image or the measurement result.
    Type: Application
    Filed: October 14, 2008
    Publication date: May 28, 2009
    Applicant: Olympus Corporation
    Inventors: Tomoyuki IIZUKA, Akihiro Fujii
  • Patent number: 7538893
    Abstract: A method of microscopically examining a spatial fine structure comprises the steps of selecting a luminophore from the group of luminophores which have two physical states, the two states differing from each other with regard to the luminescence properties displayed by the luminophore, and which are reversibly, but essentially completely transferable out of one into the other state of their two states by means of an optical signal; overlaying a surface of the spatial fine structure with the luminophore; and determining the profile of the surface overlaid with the luminophore. The step of determining the profile of the surface comprises the sub-steps of transferring the luminophore by means of the optical signal out of the one into the other of its two states outside a presently observed measurement point, measuring luminescence light emitted by the luminophore, and repeating the sub-steps of transferring and measuring for further measurement points distributed over the surface.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: May 26, 2009
    Assignee: Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V.
    Inventors: Stefan Hell, Volker Westphal, Norbert Quaas
  • Publication number: 20090128811
    Abstract: According to an embodiment there is provided a bi-directional reflectance distribution function (BRDF) instrument, including an enclosure having a plurality of sides. A first side has a relatively high reflectivity substantially non-specular interior surface, while each of the remaining sides has a relatively low reflectivity interior surface. A bottom of the enclosure has a relatively low reflectivity interior surface. A viewport is formed in one of the plurality of sides or the bottom, at least one exit port is formed in at least one of the sides, and at least one entrance port is formed in at least of the sides.
    Type: Application
    Filed: November 21, 2007
    Publication date: May 21, 2009
    Inventor: Stephen Wilcken
  • Patent number: 7532317
    Abstract: A system and method for efficiently and accurately determining grating profiles uses characteristic signature matching in a discrepancy enhanced library generation process. Using light scattering theory, a series of scattering signatures vs. scattering angles or wavelengths are generated based on the designed grating parameters, for example. CD, thickness and Line:Space ratio. This method selects characteristic portions of the signatures wherever their discrepancy exceeds the preset criteria and reforms a characteristic signature library for quick and accurate matching. A rigorous coupled wave theory can be used to generate a diffraction library including a plurality of simulated diffraction spectrums based on a predetermined structural parameter of the grating. The characteristic region of the plurality of simulated diffraction spectrums is determined based on if the root mean square error of the plurality of simulated diffraction spectrums is larger than a noise level of a measuring machine.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: May 12, 2009
    Assignees: Industrial Technology Research Institute, Nanometrics Incorporated
    Inventors: Nigel Smith, Yi-sha Ku, Shih Chun Wang, Chun-hung Ko
  • Patent number: 7532331
    Abstract: In target shape reconstruction, in order to determine efficiently and quickly the profile of complex targets on a substrate, the various degrees of freedom or variable parameters of the various shapes of which a single profile is made up can be reduced by linking together the variable parameters using simple formulae or by approximating the shape of the overall profile such that it takes in to account the various shapes making up that profile. Fewer parameters gives rise to fewer iterations of calculations on those parameters, which increases the speed of profile reconstruction.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: May 12, 2009
    Assignee: ASML Netherlands B.V.
    Inventors: Antoine Gaston Marie Kiers, Goce Naumoski
  • Patent number: 7532333
    Abstract: A method teaches how to measure—even strongly curved—specular surfaces with an apparatus that measures a shape as well as local surface normals absolutely. This is achieved by the observation and evaluation of patterns that are reflected at the surface. The reflected patterns are observed from different directions. The evaluation is done by termination of those locations in space, where the surface normals that are observed from different directions, have at least deviations against each other.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: May 12, 2009
    Assignees: 3D-Shape GmbH, The University of Erlangen
    Inventors: Gerd Haeusler, Markus Knauer, Ralf Lampalzer
  • Publication number: 20090116023
    Abstract: In one aspect, the amount of data needed to store image intensity data obtained from a scatterometer (100) such as a Parousiameter is reduced by varying a resolution with which the intensity data is used in different regions of a grid according to determined variations in the intensity. In another aspect, a scatterometer is provided with an aspherical mirror (170, 900, 1000) for imaging a test sample (180) to correct for distortions introduced by the off center placement of the mirror relative to the test sample. In another aspect, an optical surface inspection apparatus uses an auxiliary lens (1440) between a test surface (1420) and an illuminated patterned grid (1410) to project the patterned grid (1610) on the test surface. A camera (1450) is focused on the grid on the test surface as a real image.
    Type: Application
    Filed: October 5, 2005
    Publication date: May 7, 2009
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS, N.V.
    Inventor: Sipke Wadman
  • Publication number: 20090116037
    Abstract: The present subject matter include methods and apparatus for creating three dimensional digitized models of at least one ear impression, the apparatus comprising a frame, a linear axis mounted to the frame, the linear axis having an axis of motion, a first spindle axis mounted to the frame, the spindle axis having an axis of rotation, wherein the axis of rotation of the first spindle axis is parallel to the axis of motion of the linear axis, a first scanner mounted to the linear axis, the scanner includes a laser for projecting a narrowly localized spot of laser light at a target mounted on the first spindle axis and a sensor array for receiving at least a portion of the laser light reflected from the target and a controller configured to communicate with the first scanner.
    Type: Application
    Filed: November 6, 2007
    Publication date: May 7, 2009
    Inventors: Robert D. Remke, Todd L. Yilek, Craig A. Benson
  • Patent number: 7528968
    Abstract: An optical measuring machine includes a screen having a reference line, a movable stage, a detector for detecting a displacement of the stage, the first and second image-forming units for forming optical image(s) of a target object mounted on the stage on the screen, and a storage for storing the optical image(s). The first image-forming unit includes an image pickup for picking up the optical image(s), an output unit for outputting the picked up optical image(s) in digital image data, and a projecting unit for projecting the optical image(s) on the screen in accordance with the image data output by the output unit. The storage stores the image data output by the output unit.
    Type: Grant
    Filed: April 8, 2008
    Date of Patent: May 5, 2009
    Assignee: Mitutoyo Corporation
    Inventors: Sadayuki Matsumiya, Kenji Okabe, Seiji Shimokawa
  • Publication number: 20090109447
    Abstract: The invention provides a microscope for measuring the surface profile of an object, including (1) an illumination module which directs illumination radiation with different wavelengths to different surface portions of the object in such a way that a predetermined object intersection length range is illuminated for every portion, and (2) a detection module which detects sample radiation of every portion successively in time. Wherein the detection module directs the sample radiation into a detection beam path via a scanner and confocally images another wavelength of the sample radiation in a plane for every intersection length to be detected. The detection module also detects the intensity of the confocally imaged sample radiation in a wavelength-dependent manner and derives therefrom the position of the corresponding surface portion of the object. Wherein the detection module has a color module arranged between the scanner and the plane, through which the sample radiation passes.
    Type: Application
    Filed: April 17, 2007
    Publication date: April 30, 2009
    Applicant: Carl Zeiss MicroImaging GmbH
    Inventor: Johannes Winterot
  • Patent number: 7525668
    Abstract: Rotating polygon mirrors are configured such that angles formed by a rotation axis and mirror surfaces differs from one another in the mirror surfaces in order to shift a collecting point of a scanning light flux in a sub-scanning direction in association with rotation at constant angular speed. A collecting point position forming optical system is configured such that the collecting point is moved in an inspection range Zr in a height direction Z. The XYZ scanning is performed by moving the inspection object in the sub-scanning direction such that the collecting point shifted in the sub-scanning direction and the height direction is linearly scanned in the height direction of the inspection object in synchronization with the rotation of the rotating polygon mirror at the constant angular speed, and the an appearance positional coordinate of the inspection object is determined by a confocal method to perform the appearance inspection.
    Type: Grant
    Filed: April 12, 2006
    Date of Patent: April 28, 2009
    Assignee: Panasonic Corporation
    Inventor: Yuji Ono
  • Patent number: 7525673
    Abstract: A system for examining a patterned structure formed on a semiconductor wafer using an optical metrology model includes a first fabrication cluster, a metrology cluster, an optical metrology model optimizer, and a real time profile estimator. The first fabrication cluster processes a wafer, the wafer having a first patterned and a first unpatterned structure. The metrology cluster measures diffraction signals off the first patterned and first unpatterned structure. The metrology model optimizer optimizes an optical metrology model of the first patterned structure. The real time profile estimator creates an output comprising underlying film thickness, critical dimension, and profile of the first patterned structure.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: April 28, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Vi Vuong, Junwei Bao
  • Patent number: 7525667
    Abstract: The invention provides a handheld electronic gauge that is configured to obtain measurement data for an object, such as a wheel, rail, axle, or the like. The gauge includes one or more position sensors that automatically determine when the gauge is in a measurement position. The invention also provides a handheld computing device that can automatically determine when a gauge is in the measurement position and automatically obtain measurement data using the gauge. As a result, the invention provides a solution for measuring an object, such as a railway wheel, that is portable and capable of repeatedly providing various desired measurements, irrespective of the operator.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: April 28, 2009
    Assignee: International Electronic Machines Corp.
    Inventors: Zahid F. Mian, Robert MacAllister, William Peabody
  • Patent number: 7522269
    Abstract: A bonded part peeling shape identification device includes: a processor for obtaining measurement value information indicating a spectrum from an output light of an optical fiber sensor arranged in a bonded part, the optical fiber sensor outputting a light in which the spectrum is changed according to a strain; for carrying out a first shape identification calculation using a strain calculated based on the measurement value information, and a second shape identification calculation by a spectral shape of the output light based on the measurement value information; and for identifying a peeling shape of the bonded part based on an identification result of the first shape identification calculation and an identification result of the second shape identification calculation.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: April 21, 2009
    Assignee: Fuji Jukogyo Kabushiki Kaisha
    Inventors: Toshimichi Ogisu, Tomonaga Okabe, Hideki Sekine
  • Patent number: 7522292
    Abstract: A system and method for determining a shape of a surface comprises a chromatic imaging optics for generating a dispersion in a measuring light. Colour information contained in measuring light emerging from the surface to be tested and received by a detector may be used to determine the shape of the surface to tested.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: April 21, 2009
    Assignee: Carl Zeiss SMT AG
    Inventor: Bernd Doerband
  • Patent number: 7522764
    Abstract: In a method of imaging an object, for dental purposes, comprises: a) projecting a striped pattern on to the object to be imaged, b) recording the projected striped pattern as a basic image (Ri) with a camera, steps a) and b) being carried out at a number of different positions of the phase relationship of the striped pattern, and c) computing an image of the object from the plurality of mutually out-of-phrase basic camera images. Provision is made for suppression of periodic disturbances in that, in step c), c1) at least two groups of basic images (R1, R2, . . . , Rn; R2, R3, . . . , Rn+1) are formed from the basic camera images (R1, . . . , Rm), c2) a phase related image (Pj) of the object to be imaged (20) is computed from each group of basic images (R1, R2, . . . , Rn; R2, R3, . . .
    Type: Grant
    Filed: January 15, 2004
    Date of Patent: April 21, 2009
    Assignee: Sirona Dental Systems GmbH
    Inventor: Axel Schwotzer
  • Patent number: 7522294
    Abstract: To measure a process parameter of a semiconductor fabrication process, the fabrication process is performed on a first area using a first value of the process parameter. The fabrication process is performed on a second area using a second value of the process parameter. A first measurement of the first area is obtained using an optical metrology tool. A second measurement of the second area is obtained using the optical metrology tool. One or more optical properties of the first area are determined based on the first measurement. One or more optical properties of the second area are determined based on the second measurement. The fabrication process is performed on a third area. A third measurement of the third area is obtained using the optical metrology tool. A third value of the process parameter is determined based on the third measurement and a relationship between the determined optical properties of the first and second areas.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Hanyou Chu, Vi Vuong, Yan Chen
  • Publication number: 20090097037
    Abstract: The invention relates to a method of measuring a volume in a fluid flow micromechanical device (100) by optically measuring the profile of a surface therein, the method comprising the following steps: a) providing and positioning an optical apparatus (10; 50; 30; 70) for measuring the profile of a surface; b) providing means (24; 44; 64; 84) for acquiring and processing the images coming from the optical apparatus for measuring a profile; c) placing said moving member (133) in a first position and then in a second position, and activating said optical apparatus for measuring the profile of the surface to direct a light beam on said reference face, and activating the image acquisition and processor means to obtain a first image in said first position of the moving member (133) and a second image in said second position; and d) comparing said second image with said first image of said reference face to determine the variation in the volume of the cavity (138) generated by the deformation of the moving member
    Type: Application
    Filed: January 24, 2006
    Publication date: April 16, 2009
    Applicant: DEBIOTECH S.A.
    Inventor: Eric Chappel
  • Patent number: 7518740
    Abstract: A profile model to characterize a structure to be examined using optical metrology is evaluated by displaying a set of profile parameters that characterizes the profile model. Each profile parameter has a range of values for the profile parameter. For each profile parameter having a range of values, an adjustment tool is displayed for selecting a value for the profile parameter within the range of values. A measured diffraction signal, which was measured using an optical metrology tool, is displayed. A simulated diffraction signal, which was generated based on the values of the profile parameters selected using the adjustment tools for the profile parameters, is displayed. The simulated diffraction signal is overlaid with the measured diffraction signal.
    Type: Grant
    Filed: July 10, 2006
    Date of Patent: April 14, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Jeffrey A. Chard, Junwei Bao, Youxian Wen, Sanjay Yedur
  • Patent number: 7519502
    Abstract: In one general aspect, a method of processing surface profile measurements includes obtaining a calibration image, the calibration image including one or more surface profile measurements at one or more discrete points on a surface, performing calibration processing on the surface profile measurements, performing data processing on the surface profile measurements, and providing data output based upon the calibration processing and the data processing.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: April 14, 2009
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Jason Bradley Carneal, Paisan Atsavapranee, Jerry Wei-Jen Shan
  • Patent number: 7515279
    Abstract: This disclosure provides methods for measuring asymmetry of features, such as lines of a diffraction grating. On implementation provides a method of measuring asymmetries in microelectronic devices by directing light at an array of microelectronic features of a microelectronic device. The light illuminates a portion of the array that encompasses the entire length and width of a plurality of the microelectronic features. Light scattered back from the array is detected. One or more characteristics of the back-scattered light may be examined by examining data from complementary angles of reflection. This can be particularly useful for arrays of small periodic structures for which standard modeling techniques would be impractically complex or take inordinate time.
    Type: Grant
    Filed: September 13, 2004
    Date of Patent: April 7, 2009
    Assignee: Nanometrics Incorporated
    Inventor: Christopher Raymond
  • Patent number: 7513027
    Abstract: A process for machining a blank from all directions with a machine tool, such as a milling machine, involves the machining from all directions being based on a three-dimensional template. In a first step, the three-dimensional form and, if need be, also the surface finish of the three-dimensional template may be automatically measured, and the associated data may be saved. In a second step, a blank may be held by at least one clamping adapter and a first region is brought into its final, ready to use partial form by the machine tool or the milling machine using said data for numerical control. In a third step, the partially machined blank may be held by at least one clamping adapter in the first, finally machined region and the remaining region may be brought into its final, ready to use overall form by the same machine tool or milling machine.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: April 7, 2009
    Assignee: ALSTOM Technology Ltd
    Inventors: Hans Volker Boehm, Volker Dietmar Harr, Josef Scherer
  • Patent number: 7515283
    Abstract: In processing requests for wafer structure profile determination from optical metrology measurements, a plurality of measured diffraction signal of a plurality of structures formed on one or more wafers is obtained. The plurality of measured diffraction signals is distributed to a plurality of instances of a profile search module. The plurality of instances of the profile search model is activated in one or more processing threads of one or more computer systems. The plurality of measured diffraction signals is processed in parallel using the plurality of instances of the profile search module to determine profiles of the plurality of structures corresponding to the plurality of measured diffraction signals.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: April 7, 2009
    Assignee: Tokyo Electron, Ltd.
    Inventors: Tri Thanh Khuong, Junwei Bao, Jeffrey Alexander Chard, Wei Liu, Ying Zhu, Sachin Deshpande, Pranav Sheth, Hong Qiu
  • Patent number: 7511828
    Abstract: A semiconductor device manufacturing technique measures simultaneously a plurality of points on a sample to realize a high-speed three-dimensional shape measurement and reflects it to setting of a processing condition in a semiconductor device process, thereby making it possible to realize stable device manufacture with high precision. A three-dimensional shape measuring apparatus loaded on a processing apparatus such as an etcher, a coater-developer, a baking machine, or a lithography machine measures a plurality of points (500) on a sample (300) at high speed by arranging a plurality of measurement heads (100) for measuring a three-dimensional shape of the sample and by combining it with movement of an loader/stage (200) loading the sample (300). By using this measurement result, feeding back for correcting a processing condition with respect to the subsequent sample (300) and feeding forward for correcting a processing condition in the next step are realized.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: March 31, 2009
    Assignee: Hitachi, Ltd.
    Inventors: Masahiro Watanabe, Toshihiko Nakata, Maki Tanaka
  • Patent number: 7511830
    Abstract: Instead of constructing a full multi-dimensional look-up-table as a model to find the critical dimension or other parameters in scatterometry, regression or other optimized estimation methods are employed starting from a “best guess” value of the parameter. Eigenvalues of models that are precalculated may be stored and reused later for other structures having certain common characteristics to save time. The scatterometric data that is used to find the value of the one or more parameter can be limited to those at wavelengths that are less sensitive to the underlying film characteristics. A model for a three-dimensional grating may be constructed by slicing a representative structure into a stack of slabs and creating an array of rectangular blocks to approximate each slab. One dimensional boundary problems may be solved for each block which are then matched to find a two-dimensional solution for the slab.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: March 31, 2009
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Anatloy Fabrikant, Guoheng Zhao, Daniel C. Wack, Mehrdad Nikoonahad
  • Patent number: 7511834
    Abstract: The present invention provides an optical device for measuring characteristics of toolings (116), especially chuck and roll in a seamer. The optical device comprises a radiation source (102) adapted to generate radiation, means (106, 108) for diverting the radiation so as to pass through a profile in the toolings (116), and a detector (114) adapted to receive the radiation that passed through the profile. The characteristics of the toolings (116) such as the profiles of a gap between the chuck and the roll, are processed from the detected radiation that passes through the profile.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: March 31, 2009
    Assignee: Quality by Vision Ltd.
    Inventors: Ofer Laor, Boris Molochnikov
  • Patent number: 7511829
    Abstract: A device for determining the surface topology and associated color of a structure, such as a teeth segment, includes a scanner for providing depth data for points along a two-dimensional array substantially orthogonal to the depth direction, and an image acquisition means for providing color data for each of the points of the array, while the spatial disposition of the device with respect to the structure is maintained substantially unchanged. A processor combines the color data and depth data for each point in the array, thereby providing a three-dimensional color virtual model of the surface of the structure. A corresponding method for determining the surface topology and associated color of a structure is also provided.
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: March 31, 2009
    Assignee: Cadent Ltd.
    Inventor: Noam Babayoff
  • Publication number: 20090079995
    Abstract: The invention relates to a tilting adjustable surface profilometer, comprising an apparatus capable of adjusting an image acquiring angle. The apparatus includes two types of frameworks. One is a translation-stage-type tilting adjustable surface profilometer, which is enabled by the translations of two translation stage with the rotation of a rotary rack, a surface profile with an omni-directional angle of a sample can be obtained. The other framework is a surface profilometer with an arc-trajectory tilting apparatus, which is enabled by guiding the surface profilometer to slide along the arc rails with the rotations of the rotary rack, a surface profile with an omni-directional angle of a sample can be obtained.
    Type: Application
    Filed: March 31, 2008
    Publication date: March 26, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Shih-Hsuan Kuo, Jin-Liang Chen, Ching-Ming Yeh, Shih-Fang Lee, Hung-Ming Tai
  • Patent number: 7505148
    Abstract: Optical metrology tools are matched by obtaining a first set of measured diffraction signals, which was measured using a first optical metrology tool, and a second set of measured diffraction signals, which was measured using a second optical metrology tool. A first spectra-shift offset is generated based on the difference between the first set of measured diffraction signals and the second set of measured diffraction signals. A first noise weighting function for the first optical metrology tool is generated based on measured diffraction signals measured using the first optical metrology tool. A first measured diffraction signal measured using the first optical metrology tool is obtained. A first adjusted diffraction signal is generated by adjusting the first measured diffraction signal using the first spectra-shift offset and the first noise weighting function.
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: March 17, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Vi Vuong, Yan Chen, Holger Tuitje
  • Patent number: 7505146
    Abstract: A method and a device for identifying an upper surface and a lower surface of a component having asymmetric upper and lower outer shapes are provided. In particular, a method and a device for identifying orientation of an item that hardly allows visual identification of the upper and lower surface. A disk-like, cylindrical, or annular component having asymmetric upper and lower outer shapes is placed on a reference surface having a reference block, an outer peripheral part of the component on the reference surface is brought into contact with the reference block, and identification of the upper and lower surface of the component is achieved in an inline system based on a gap created between the outer peripheral part of the component and the reference block, using a light source lighting device and a detection camera arranged across the reference block.
    Type: Grant
    Filed: November 26, 2004
    Date of Patent: March 17, 2009
    Assignees: Kabushiki Kaisha Riken, YKK Corporation
    Inventors: Hiroyuki Oya, Ryoji Usui, Hajime Tanabe, Koei Watanabe, Mitsuyasu Fukusawa, Osamu Watanabe
  • Patent number: 7505150
    Abstract: The invention relates to a device and a method for the measurement of the curvature of a surface (1), which is more exact and less expensive than prior art devices. The device comprises a light source (2) for the irradiation of a light beam (3) onto the surface (1), in which a birefingent element (4) is arranged between light source (2) and surface (1), in which furthermore a detector (5) is arranged for the detection of the partial beams (6,7), that are reflected from the surface (1), and at least one main axis (17) of the birefringent element (4) is positioned with respect to the light beam (3) of the light source (2) in such a way, that the light beam (3) of the light source (2) is split up into at least two parallel beams (6,7).
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: March 17, 2009
    Assignee: Laytec GmbH
    Inventors: Thomas Zettler, Guenther Strassburger, Armin Dadgar, Alois Krost
  • Patent number: 7505147
    Abstract: Improved computation of Fourier coefficients for modeling of 2-D grating diffraction is provided. Let f(x,y) be defined in a region ?. Typically, f(x,y) is piecewise constant (since it is a grating permittivity or inverse permittivity) and takes on various constant values in several domains in ?. Let D be one of these domains, having a general shape. According to the invention, D is approximated as a set of trapezoids, and f(x,y) is taken to have a constant value within each of the trapezoids. Since the Fourier coefficient of a constant defined on a trapezoidal region can be analytically evaluated, an analytic approximation to the Fourier coefficient of f(x,y) on D is provided by summing the contributions from each trapezoid.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: March 17, 2009
    Assignee: n&k Technology, Inc.
    Inventors: Shuqiang Chen, Guoguang Li
  • Patent number: 7502124
    Abstract: The wheel and tire assembly for running on ground includes measurement means carried by the wheel for measuring topological parameters of the inside surface of a pertinent portion of the tire. These measurement means comprise lighting and viewing means for lighting and viewing a calibrated surface pattern that is subjected to the deformation of the inside surface of the pertinent portion of the tire. The viewing means are preferably of the stereovision type or of the structured light type.
    Type: Grant
    Filed: February 14, 2007
    Date of Patent: March 10, 2009
    Assignee: Michelin Recherche et Technique S.A.
    Inventors: Olivier Muhlhoff, Marc Chabry
  • Publication number: 20090057837
    Abstract: An apparatus includes a semiconductor wafer having a surface terminating in an edge. A plurality of notches is defined along the edge. The plurality of notches encodes a wafer identification descriptor for the wafer. A system for identifying wafers includes a wafer sorter. The wafer sorter is adapted to scan at least a portion of a wafer including the plurality of notches and decode the scan of the plurality of notches to generate a wafer identification descriptor for the wafer.
    Type: Application
    Filed: September 4, 2007
    Publication date: March 5, 2009
    Inventors: LESLIE MARSHALL, Gerald L. Goff
  • Publication number: 20090059240
    Abstract: A method includes collecting optical data from an unpatterned region including a first process layer. At least one optical parameter of the first process layer is determined based on the optical data associated with the unpatterned region. Optical data is collected from a patterned region including a second process layer. At least one characteristic of the patterned region is determined based on the optical data associated with the patterned region and the at least one optical parameter.
    Type: Application
    Filed: September 5, 2007
    Publication date: March 5, 2009
    Inventors: Carsten Hartig, Jason P. Cain
  • Patent number: 7499183
    Abstract: The present invention uses ISTS to measure trenches with near- or sub-micron width. The trenches can be etched in a thin film on in a silicon substrate. One step of the method is exciting the structure by irradiating it with a spatially periodic laser intensity pattern in order to generate surface acoustic waves. Other steps are diffracting a probe laser beam off the thermal grating to form a signal beam; detecting the signal beam as a function of time to generate a signal waveform; determining surface acoustic wave phase velocity from the waveform; and determining at least one property of the trench structures based on the dependence of surface acoustic wave phase velocity on the parameters of the structure.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: March 3, 2009
    Assignee: Advanced Metrology Systems, LLC
    Inventor: Alexei Maznev
  • Patent number: 7495777
    Abstract: A method and apparatus is described for contact-free 3 dimensional-measuring of a moving object with periodic motion. The method and apparatus makes use of the projection of a defined intensity pattern onto the moving object and the recording and analysis of a reflected pattern from the object wherein the intensity pattern projection is synchronized to a characteristic periodic frequency period or sub-period of the moving object.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: February 24, 2009
    Assignee: Tyrex Services Group Ltd.
    Inventors: James Scogin, Brian Crowell, Ron Lutz
  • Patent number: 7495776
    Abstract: A three-dimensional measuring system for measuring a three-dimensional shape of a measurement object in a noncontact manner includes a first obtaining portion for obtaining arrangement information of the measurement object, a second obtaining portion for obtaining design shape information of the measurement object, a fourth obtaining portion for obtaining specifics information about one or more three-dimensional measuring devices, a determining portion for determining a measurable part that can be measured by the three-dimensional measuring device about a surface shape of the measurement object in accordance with the obtained arrangement information, the obtained design shape information and the obtained specifics information, and an output portion for outputting the determined measurable part.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: February 24, 2009
    Assignee: Konica Minolta Sensing, Inc.
    Inventors: Akira Kubo, Shinichi Horita, Yoshihisa Abe
  • Patent number: 7492470
    Abstract: The invention relates to a method for three-dimensional shape measurement of a body or of a part thereof, in particular of a dental object such as a model, by scanning non-contact distance measurement using an optical sensor device comprising a beam source, where the shape of the body subjects reproducing areas to a beam at various incidence angles (impinging beam), and the reflected beam (measuring beam) is measured, with the body being adjusted relative to the optical sensor device. To permit measurement with high precision of the body and undercuts thereof, without tilting being necessary, it is proposed that for shape measurement of the respectively measured area the beam (measuring beam) reflected therefrom, which describes an angle ? to a surface normal corresponding to the respective area, is taken into account, said angle being equal to or smaller than a predefined critical angle ?GR relative to the surface normal.
    Type: Grant
    Filed: April 7, 2006
    Date of Patent: February 17, 2009
    Assignee: Degudent GmbH
    Inventors: Erik Büchler, Hartmut Brinkmann, Andre Garthaus, Philip Von Schroeter
  • Patent number: 7486407
    Abstract: The main sensor 15 measures the distance Lm to the surface of the pad 2a, and the sub-sensor 16 measures the distance Ls to the surface of the reference block 12. What is actually taken as the measured value is the value of (Lm+Ls). The reference block 12 is used in order to give a reference position for measuring the surface position of the pad 2a. Accordingly, correct measurements can be performed even if the position of the movable element 9 should fluctuate, for example, as a result of deformation of the guiding and holding plate 7 or guide 8. When the motor 11 is caused to rotate, the ball screw 10 rotates, so that the movable element 9 moves leftward and rightward, and the distance to the pad 2a is measured. From the measured data of this distance, the circular-conical vertical angle, groove depth, thickness, and the like of the pad 2a are determined.
    Type: Grant
    Filed: February 13, 2008
    Date of Patent: February 3, 2009
    Assignee: Nikon Corporation
    Inventors: Toshihisa Tanaka, Atsushi Tanaka, Takeshi Soma
  • Patent number: 7483150
    Abstract: A measuring device (1) for measuring the shape, contour and/or roughness of a workpiece is based on a contact-less optical probe having a large numeric aperture. The probe has at least two different focal points with which at least two photo receptors are associated. The latter generate a differential signal for controlling a positioning device (13) for tracking the optical probe in such a manner that the workpiece surface is maintained within the measuring range of the probe. The differential signal is proven to result in a rapid and accurate tracking of the position of the sensor arrangement (3).
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: January 27, 2009
    Assignee: Carl Mahr Holding GmbH
    Inventor: Peter Lehmann