Fault Or Defect Detection Patents (Class 382/149)
  • Patent number: 11966847
    Abstract: A method for constructing an efficient product surface defect detection model based on network collaborative pruning is provided. According to an initial product surface defect detection model, the method provides a network-based collaborative pruning method and constructs the efficient product surface defect detection model. On a premise of ensuring an accuracy of a product defect detection method, a product surface defect detection time is reduced to satisfy manufacturer's requirements on the product surface defect detection time and accuracy of product surface defects.
    Type: Grant
    Filed: November 16, 2020
    Date of Patent: April 23, 2024
    Assignee: DONGHUA UNIVERSITY
    Inventors: Jie Zhang, Junliang Wang, Xin Liu, Jinsong Bao
  • Patent number: 11954775
    Abstract: A method, computer program product, and computer system for artboard element positioning in a series of computer-based artboards. The method includes providing multiple target artboards and identifying a common element in at least some of the target artboards, where a common element has at least some consistent attributes. The method includes determining a reference position for the common element. The method includes, in each target artboard containing the common element, comparing an existing position of the common element with the reference position and determining whether to adjust the existing position to match the reference position.
    Type: Grant
    Filed: February 23, 2022
    Date of Patent: April 9, 2024
    Assignee: International Business Machines Corporation
    Inventors: Benjamin David Cox, Andrew David Lyell, Joseph Sayer
  • Patent number: 11940390
    Abstract: A system, method and computer readable medium for examining a specimen, the method comprising: obtaining defects of interest (DOIs) and false alarms (FAs) from a review subset selected from a group of potential defects received from an inspection tool, each potential defect is associated with attribute values defining a location of the potential defect in an attribute space; generating a representative subset of the group, comprising potential defects selected in accordance with a distribution of the potential defects within the attribute space, and indicating the potential defects in the representative subset as FA; and training a classifier using data informative of the attribute values of the DOIs, the potential defects of the representative subset, and respective indications thereof as DOIs or FAs, wherein the trained classifier is to be applied to at least some of the potential defects to obtain an estimation of a number of expected DOIs.
    Type: Grant
    Filed: June 1, 2022
    Date of Patent: March 26, 2024
    Assignee: Applied Materials Israel Ltd.
    Inventors: Yotam Sofer, Shaul Engler, Boaz Cohen, Saar Shabtay, Amir Bar, Marcelo Gabriel Bacher
  • Patent number: 11922619
    Abstract: A context-based inspection system is disclosed. The system may include an optical imaging sub-system. The system may further include one or more controllers communicatively coupled to the optical imaging system. The one or more controllers may be configured to: receive one or more reference images; receive one or more test images of a sample; generate one or more probabilistic context maps during inspection runtime using an unsupervised classifier; provide the generated one or more probabilistic context maps to a supervised classifier during the inspection runtime; and apply the supervised classifier to the received one or more test images to identify one or more DOIs on the sample.
    Type: Grant
    Filed: March 29, 2023
    Date of Patent: March 5, 2024
    Assignee: KLA Corporation
    Inventors: Brian Duffy, Bradley Ries, Laurent Karsenti, Kuljit S. Virk, Asaf J. Elron, Ruslan Berdichevsky, Oriel Ben Shmuel, Shlomi Fenster, Yakir Gorski, Oren Dovrat, Ron Dekel, Emanuel Garbin, Sasha Smekhov
  • Patent number: 11922615
    Abstract: There is provided with an information processing device. A defect detecting unit detects a defect of an object in an input image. An extracting unit extracts a feature amount pertaining to a partial image of the defect from the input image, on the basis of a result of detecting the defect. An attribute determining unit determines an attribute of the defect using the feature amount pertaining to the partial image of the defect.
    Type: Grant
    Filed: February 9, 2022
    Date of Patent: March 5, 2024
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsushi Nogami, Yusuke Mitarai
  • Patent number: 11893451
    Abstract: The present invention relates to a chip counter, which transmits an X-ray beam through a tape reel around which a tape having a plurality of semiconductor chips mounted in a row therein is wound, acquires an image scattered or diffracted by the semiconductor chips, and processes the acquired image, so as to count the number of the semiconductor chips, wherein: the X-ray beam transmitted through the tape reel (1) is sensed by a fluorescent intensifying screen (60); a fluorescent light emitted from the fluorescent intensifying screen (60) according to the sensing of the X-ray beam is captured by a camera (70), so that the number of the semiconductor chips is counted from an image in which the semiconductor chips are displayed by a dotted image; and the camera (70) is protected by an X-ray beam shielding member (100: 110; 120; and 130).
    Type: Grant
    Filed: November 15, 2019
    Date of Patent: February 6, 2024
    Inventor: Hyun Su Lee
  • Patent number: 11887296
    Abstract: Methods and systems for setting up care areas (CAs) for inspection of a specimen are provided. One system includes an imaging subsystem configured for generating images of a specimen and a computer subsystem configured for determining a number of defects detected in predefined cells within one or more of the images generated in a repeating patterned area formed on the specimen. The computer subsystem is also configured for comparing the number of the defects detected in each of two or more of the predefined cells to a predetermined threshold and designating any one or more of the two or more of the predefined cells in which the number of the defects is greater than the predetermined threshold as one or more CAs. In addition, the computer subsystem is configured for storing information for the one or more CAs for use in inspection of the specimen.
    Type: Grant
    Filed: November 4, 2021
    Date of Patent: January 30, 2024
    Assignee: KLA Corp.
    Inventors: Raja Barnwal, Saptarshi Majumder
  • Patent number: 11880969
    Abstract: A belt examination system includes a defect candidate detecting processor that detects a candidate for a belt defect of an intermediate transfer belt of an image forming apparatus from a belt image, the defect candidate detecting processor executes a background pattern reduction step to reduce a texture-pattern like background noise present in the belt image and detects the candidate based on the belt image generated during the background pattern reduction step, the background pattern reduction step is to execute a locally adaptive binarization process on the belt image in a range having a specific size based on a typical size of the belt defect to generate a binary image and subtract the binary image from the belt image, and the binary image includes a first value into which a lowest color value of the belt defect is converted and a second value larger than the first value.
    Type: Grant
    Filed: May 20, 2021
    Date of Patent: January 23, 2024
    Assignee: KYOCERA DOCUMENT SOLUTIONS INC.
    Inventor: Akira Nishizawa
  • Patent number: 11875547
    Abstract: An image processing apparatus according to an embodiment includes a processor. The processor acquires a classification result of classifying each of a plurality of regions set in a processing target image into one of a plurality of predetermined classes. The processor converts multidimensional data corresponding to each of the plurality of regions set in the image into low-dimensional data. The processor causes a display image including one or more regions to be displayed together with a plotted diagram including a plurality of plot points having different colors or patterns applied according to the classification result to the low-dimensional data in each of the plurality of regions, and in a case where an instruction for selecting a selected point from among the plot points is issued, the processor performs a discriminative display causing the selected point and a region corresponding to the selected point in the display image to be visually identifiable.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: January 16, 2024
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Digital Solutions Corporation
    Inventors: Yeonsoo Yang, Tamotsu Sato, Hiroyuki Mizutani
  • Patent number: 11868895
    Abstract: A computer-implemented method includes receiving a neural network model that includes a tensor operation, dividing the tensor operation into a set of sub-operations, and generating instructions for performing a plurality of sub-operations of the set of sub-operations on respective computing engines of a plurality of computing engines on a same integrated circuit device or on different integrated circuit devices. Each sub-operation of the set of sub-operations generates a portion of a final output of the tensor operation. An inference is made based on a result of a sub-operation of the plurality of sub-operations, or based on results of the plurality of sub-operations.
    Type: Grant
    Filed: January 13, 2023
    Date of Patent: January 9, 2024
    Assignee: Amazon Technologies, Inc.
    Inventors: Randy Renfu Huang, Ron Diamant, Richard John Heaton
  • Patent number: 11830174
    Abstract: A defect inspecting device including an acquisition unit, an image generating unit, an inspection unit, and a setting unit. The image generating unit generates one or more feature extraction images by applying to an inspection image a learned classifier. The inspection unit identifies a region corresponding to a defect based on one or more determination parameters and a binarized image generated based on the feature extraction image. The setting unit provisionally sets the determination parameters on premise of a post-adjustment from a user when a number of image data for learning corresponding to the features is less than a threshold value in a case where the region corresponding to the defect is identified based on the pre-learned features, and sets the determination parameters according to designation from the user in a case where the region corresponding to the defect is identified based on a feature other than the pre-learned features.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: November 28, 2023
    Assignee: OMRON Corporation
    Inventors: Yasuyuki Ikeda, Masashi Kurita
  • Patent number: 11776113
    Abstract: Disclosed are a polarizer attachment detection method and device, and a display device. The polarizer attachment detection method includes: controlling an image collection device to collect image data after attachment of a polarizer in a current detection mode; in response to the image data in the current detection mode failing to match prestored standard image data, switching to a next detection mode, controlling the image collection device to collect image data after the attachment of the polarizer in the next detection mode, and marking the image data collected in the next detection mode as new image data; in response to the new image data matching the prestored standard image data, outputting result information that the attachment is correct; and in response to the new image data failing to match the prestored standard image data, outputting result information that the attachment is incorrect.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: October 3, 2023
    Assignee: HKC CORPORATION LIMITED
    Inventors: Chun Qing, Guoding Huang
  • Patent number: 11769248
    Abstract: This image processing device, by superimposing a defect image 52 on a background image 51, with the original image of an inspection subject as the background image 51, and performing image processing in which the display format of the defect image 52 or the display format of the background image 51 is altered, a plurality of composite images 50 having different ways of seeing the defect image 52 with respect to the background image 51 are generated (step 403), the advisability of detecting the defect image 52 from each of the plurality of composite images 50 is verified (step 404), the detectable range 60 of the defect image 52 is estimated on the basis of the detection advisability verification results (step 405), and the detectable range 60 is displayed (step 406).
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: September 26, 2023
    Assignee: OMRON Corporation
    Inventors: Masashi Kurita, Yasuyuki Ikeda, Sakon Yamamoto
  • Patent number: 11768440
    Abstract: A method including: obtaining data based an optical proximity correction for a spatially shifted version of a training design pattern; and training a machine learning model configured to predict optical proximity corrections for design patterns using data regarding the training design pattern and the data based on the optical proximity correction for the spatially shifted version of the training design pattern.
    Type: Grant
    Filed: December 27, 2022
    Date of Patent: September 26, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Jing Su, Yen-Wen Lu, Ya Luo
  • Patent number: 11769317
    Abstract: Disclosed herein is a method of automatically obtaining training images to train a machine learning model that improves image quality. The method may comprise analyzing a plurality of patterns of data relating to a layout of a product to identify a plurality of training locations on a sample of the product to use in relation to training the machine learning model. The method may comprise obtaining a first image having a first quality for each of the plurality of training locations, and obtaining a second image having a second quality for each of the plurality of training locations, the second quality being higher than the first quality. The method may comprise using the first image and the second image to train the machine learning model.
    Type: Grant
    Filed: December 18, 2019
    Date of Patent: September 26, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Wentian Zhou, Liangjiang Yu, Teng Wang, Lingling Pu, Wei Fang
  • Patent number: 11763443
    Abstract: One variation of a method for monitoring manufacture of assembly units includes: receiving selection of a target location hypothesized by a user to contain an origin of a defect in assembly units of an assembly type; accessing a feature map linking non-visual manufacturing features to physical locations within the assembly type; for each assembly unit, accessing an inspection image of the assembly unit recorded by an optical inspection station during production of the assembly unit, projecting the target location onto the inspection image, detecting visual features proximal the target location within the inspection image, and aggregating non-visual manufacturing features associated with locations proximal the target location and representing manufacturing inputs into the assembly unit based on the feature map; and calculating correlations between visual and non-visual manufacturing features associated with locations proximal the target location and the defect for the set of assembly units.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: September 19, 2023
    Assignee: Instrumental, Inc.
    Inventors: Samuel Bruce Weiss, Anna-Katrina Shedletsky, Simon Kozlov, Tilmann Bruckhaus, Shilpi Kumar, Isaac Sukin, Ian Theilacker, Brendan Green
  • Patent number: 11756186
    Abstract: A workpiece inspection and defect detection system includes a light source configuration, a lens configuration, and a camera configuration for imaging workpieces. The system acquires training and run mode workpiece images for acquiring corresponding sets of training and run mode workpiece image data. Each set of image data includes at least first and second color channel workpiece image data corresponding to first and second color channels (e.g., for which ratios between the first and second color channel workpiece image data may be determined as part of synthetic image data to improve the ability of the system to detect defects). The defect detection portion is trained based at least in part on the image data, and is utilized to perform analysis to determine defect images that include workpieces with defects (e.g., for which metrology operations may be performed for measuring dimensions of defects, etc.).
    Type: Grant
    Filed: September 15, 2021
    Date of Patent: September 12, 2023
    Assignee: Mitutoyo Corporation
    Inventor: Mark Lawrence Delaney
  • Patent number: 11755639
    Abstract: Disclosed herein are methods and systems of metadata management for reviewing data from microscopy experimental sessions. Image data from an experimental session is stored in an archive at one or more filepath locations, either locally or on a network. Metadata associated with the image data is stored in a database with a reference to the filepath where the raw image is stored, such that the metadata is associated in the database with the image data. A user can perform post-experimental filtering, sorting, and searching of the underlying image data using the metadata, which allows the image data to be analyzed without duplication of the image data and without manual review of each individual image. The filtered data is presented in an interactive timeline format.
    Type: Grant
    Filed: September 12, 2022
    Date of Patent: September 12, 2023
    Assignee: PROTOCHIPS, INC.
    Inventors: Franklin Stampley Walden, II, John Damiano, Jr., David P. Nackashi, Daniel Stephen Gardiner, Mark Uebel, Alan Philip Franks, Joshua Friend, Katherine Elizabeth Marusak
  • Patent number: 11720298
    Abstract: In a case where an inspection level to be used in determining quality of an image of an inspected surface of a printed sheet is set based on a comparison between a captured image resulting from imaging the inspected surface and a reference image, a plurality of inspection regions varying in inspection level is set for the reference image. In a case where an overlap region is present in the plurality of inspection regions, an inspection level of the overlap region is identified based on a predetermined priority. Further, the identified inspection level of the overlap region is displayed.
    Type: Grant
    Filed: April 26, 2022
    Date of Patent: August 8, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Aya Ito
  • Patent number: 11714071
    Abstract: Provided is a method of inspecting a growth quality of a graphene layer of a graphene-grown copper foil obtained by growing the graphene layer on a copper foil layer by chemical vapor deposition (CVD), the method including reacting oxygen or water molecules with the copper foil layer via a defect portion of the graphene layer, partitioning an entire region of the graphene-grown copper foil into partial regions, sequentially obtaining images of the partial regions, detecting, with respect to each of the images of the partial regions, an oxidized region where the copper foil layer is oxidized, and setting the oxidized region as a graphene defect region, and obtaining a ratio of an area of the graphene defect region to an entire area of each of the images of the partial regions.
    Type: Grant
    Filed: May 26, 2020
    Date of Patent: August 1, 2023
    Assignee: Versarien PLC
    Inventors: Jin Sung Park, Jin Ho Jang
  • Patent number: 11694327
    Abstract: Common events between layers on a semiconductor wafer are filtered. Common events should contain the majority of defects of interest. Only nuisance events that are common between layers on the semiconductor wafer remain, which reduces the nuisance rate. Defects that are common across layers can be filtered based on, for example, defect coordinates, a difference image, or defect attributes.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: July 4, 2023
    Assignee: KLA-TENCOR CORPORATION
    Inventor: Bjorn Brauer
  • Patent number: 11694325
    Abstract: An object of the present disclosure is to provide a system for deriving a type of a defect of a semiconductor element and a non-transitory computer-readable medium. The system receives, from the image acquisition tool, image data obtained by sequentially irradiating a plurality of patterns provided on the semiconductor wafer with a beam and extracts characteristics of the plurality of patterns sequentially irradiated with a beam from the received image data, the characteristics being included in the image data, or receives characteristics of the plurality of patterns sequentially irradiated with a beam from the image acquisition tool, the characteristics being extracted from the image data (Step 603), and derives (Step 605) a type of a defect by referring to (Step 604) related information for the characteristics of the plurality of patterns, the related information storing the characteristics of the plurality of patterns and types of defects in association with each other.
    Type: Grant
    Filed: June 17, 2020
    Date of Patent: July 4, 2023
    Assignee: Hitachi High-Tech Corporation
    Inventors: Heita Kimizuka, Yohei Nakamura, Natsuki Tsuno, Muneyuki Fukuda
  • Patent number: 11686998
    Abstract: A method for manufacturing a semiconductor device includes designing a layout, manufacturing a photomask based on the designed layout, and performing a photolithography process using the photomask to form a pattern on a substrate. The manufacturing of the photomask includes preparing the photomask including first and second chip regions, extracting first and second images from the first and second chip regions, respectively, averaging the first and second images to generate a preliminary standard image including a difference region between the first and second images, inserting a normal image into the difference region based on the layout to generate a standard image, and comparing each of the first and second images with the standard image to detect a defect of the first and/or second chip regions.
    Type: Grant
    Filed: February 18, 2021
    Date of Patent: June 27, 2023
    Inventor: Jeong-Hun Seo
  • Patent number: 11686571
    Abstract: There is provided a system and method of a method of detecting a local shape deviation of a structural element in a semiconductor specimen, comprising: obtaining an image comprising an image representation of the structural element; extracting, from the image, an actual contour of the image representation; estimating a reference contour of the image representation indicative of a standard shape of the structural element, wherein the reference contour is estimated based on a Fourier descriptor representative of the reference contour, the Fourier descriptor being estimated using an optimization method based on a loss function specifically selected to be insensitive to local shape deviation of the actual contour; and performing one or more measurements representative of one or more differences between the actual contour and the reference contour, the measurements indicative of whether a local shape deviation is present in the structural element.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: June 27, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventors: Roman Kris, Ilan Ben-Harush, Rafael Bistritzer, Vadim Vereschagin, Elad Sommer, Grigory Klebanov, Arundeepth Thamarassery, Jannelle Anna Geva, Gal Daniel Gutterman, Einat Frishman, Sahar Levin
  • Patent number: 11685005
    Abstract: A system for use in repairing a stamping press die. The system includes an imaging system configured to perform a scan on a pressing surface of the stamping press die, wherein a profile of the pressing surface is determined based on the scan. A computing device is configured to compare the determined profile to a desired profile of the pressing surface, and to generate a repair template based on dimensional variations between the determined profile and the desired profile. A projection system is configured to display the repair template on the pressing surface.
    Type: Grant
    Filed: February 26, 2021
    Date of Patent: June 27, 2023
    Assignee: HONDA MOTOR CO., LTD.
    Inventor: Logan Tyrel Mathys
  • Patent number: 11688055
    Abstract: Disclosed is a computerized method for detecting defects on a sample. The method includes: (i) receiving scan data corresponding to a pixel on the sample; (ii) computing a difference vector d based on the scan data and corresponding reference data; (iii) computing a parameter D dependent on t=?d?(Glinear/??s?2)?s, wherein ?T?=K?1 with K being a covariance matrix corresponding to the pixel, s is a predetermined kernel characterizing a defect signal, and Glinear=s·(K?1 d) is a gaussian approximation of a likelihood ratio test expression for distinguishing the defect signal from noise, and wherein D substantially monotonically increases with ?t?; and (iv) computing a score q(g, D) indicative of whether the pixel is defective, wherein g is a parameter indicative of a value of Glinear and q(g, D) substantially monotonically increases with g and substantially monotonically decreases with D.
    Type: Grant
    Filed: January 27, 2021
    Date of Patent: June 27, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventors: Guy Shwartz, Ido Almog
  • Patent number: 11668742
    Abstract: Micro light emitting diode inspection and repairing equipment including a carrying stage, an optical inspection module and an injection device is provided. The optical inspection module is arranged corresponding to the carrying stage to capture image information and obtain a position coordinate from the image information. The injection device is adapted to move to a target position of the carrying stage according to the position coordinate. The injection device includes a tube and a nozzle. The tube includes a first portion and a second portion connected to the first portion. The extending direction of the first portion is different from the extending direction of the second portion. A fluid blows to the target position after passing through the tube and the nozzle. An inspection and repairing method adopting the micro light emitting diode inspection and repairing equipment is also provided.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: June 6, 2023
    Assignee: PlayNitride Display Co., Ltd.
    Inventor: Cheng-Cian Lin
  • Patent number: 11651981
    Abstract: A system and method for defect detection in a hole array on a substrate is disclosed herein. In one embodiment, a method for defect detection in a hole array on a substrate, includes: scanning a substrate surface using at least one optical detector, generating at least one image of the substrate surface; and analyzing the at least one image to detect defects in the hole array on the substrate surface based on a set of predetermined criteria.
    Type: Grant
    Filed: August 18, 2020
    Date of Patent: May 16, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jiao-Rou Liao, Sheng-Hsiang Chuang, Cheng-Kang Hu, Hsu-Shui Liu, Jiun-Rong Pai, Shou-Wen Kuo
  • Patent number: 11644427
    Abstract: An automatic detection method and an automatic detection system for detecting any crack on wafer edges are provided. The automatic detection method includes the following steps. Several wafer images of several wafers are obtained. The wafer images are integrated to create a templet image. Each of the wafer images is compared with the templet image to obtain a differential image. Each of the differential images is binarized. Each of the differential images which are binarized is de-noised. Whether each of the differential images has an edge crack is detected according to pattern of each of the differential images which are de-noised.
    Type: Grant
    Filed: November 23, 2020
    Date of Patent: May 9, 2023
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chia-Feng Hsiao, Chung-Hsuan Wu, Shuo-Yu Chen, Nai-Ying Lo, Yi-Hui Tseng, Chen-Hui Huang, Yung-Yu Yang, Tzu-Ping Kao
  • Patent number: 11636584
    Abstract: In a real-time traceability method of a width of a defect based on divide-and-conquer provided by the present invention, through the calibration transfer function, the multidimensional eigenvector analysis technology based on the electromagnetic field simulation database of defect scattered dark-field imaging and the adaptive threshold segmentation method, the real-time traceability of the width of the defect greater than and close to the diffraction limit of the system is performed, respectively. The extreme random tree regression model is trained by multidimensional eigenvector analysis technology based on the multidimensional eigenvectors in the electromagnetic field simulation database of the defect scattered dark-field imaging.
    Type: Grant
    Filed: August 2, 2019
    Date of Patent: April 25, 2023
    Assignee: ZHEJIANG UNIVERSITY
    Inventors: Yongying Yang, Weimin Lou, Fanyi Wang, Yubin Du, Pan Guo, Shiwei Chen
  • Patent number: 11636585
    Abstract: An apparatus for classifying a defect generated in a substrate, includes: a first storage part for storing a first image data for defect classification determination, which includes a defect region in which the defect is generated and a surrounding region of the defect region; a first estimation part for estimating a first type of defect by using a deep learning system, based on the first image data; a second storage part for storing a second image data for defect classification estimation, which is obtained by expressing the defect region and the surrounding region by a binarized data; a second estimation part for estimating a second type of defect by using a rule-based system, based on an attribute of the defect region extracted from the second image data; and a comprehensive determination part for comprehensively determining a type of defect based on the first and second types of defects.
    Type: Grant
    Filed: December 9, 2021
    Date of Patent: April 25, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shuji Iwanaga
  • Patent number: 11631230
    Abstract: The present disclosure relates to a method, device, system and computer-program product for setting a lighting condition when an object is checked and a storage medium. The method includes that: the object is lighted by light sources capable of changing lighting parameters, and the object is captured by an image sensor in such lighting parameters to obtain captured images, wherein the object has known label data; and a part of or all of the captured images and the corresponding label data of the object are applied to learning of a machine learning model, and the lighting condition and the check algorithm parameters of the machine learning model is set simultaneously by optimizing both the lighting parameters and the check algorithm parameters, on the basis of a comparison result between an estimation result of the machine learning model and the label data. Therefore, operations are simplified.
    Type: Grant
    Filed: March 6, 2018
    Date of Patent: April 18, 2023
    Assignee: OMRON Corporation
    Inventors: Yosuke Naruse, Masashi Kurita
  • Patent number: 11619592
    Abstract: Methods and systems for selecting defect detection methods for inspection of a specimen are provided. One system includes one or more computer subsystems configured for separating polygons in a care area into initial sub-groups based on a characteristic of the polygons on the specimen and determining a characteristic of noise in output generated by a detector of an inspection subsystem for the polygons in the different initial sub-groups. The computer subsystem(s) are also configured for determining final sub-groups for the polygons by combining any two or more of the different initial sub-groups having substantially the same values of the characteristic of the noise. In addition, the computer subsystem(s) are configured for selecting first and second defect detection methods for application to the output generated by the detector of the inspection subsystem during inspection of the specimen or another specimen.
    Type: Grant
    Filed: June 23, 2020
    Date of Patent: April 4, 2023
    Assignee: KLA Corp.
    Inventors: Bjorn Brauer, Hucheng Lee, Sangbong Park
  • Patent number: 11610102
    Abstract: Techniques for time-based memory allocation for a neural network inference are disclosed. A description of a neural network comprising a plurality of operations to be executed across a set of accelerators is received. A plurality of interconnect times at a plurality of partition points within the neural network are calculated. Each of the plurality of interconnect times corresponds to a duration of time for transferring an output feature map from one of the set of accelerators to another of the set of accelerators to be used as an input feature map. A partitioning scheme that divides the plurality of operations into a set of subgraphs is determined based on the plurality of interconnect times. Each of the set of subgraphs is assigned to a different accelerator of the set of accelerators in accordance with the partitioning scheme.
    Type: Grant
    Filed: November 27, 2019
    Date of Patent: March 21, 2023
    Assignee: Amazon Technologies, Inc.
    Inventors: Jindrich Zejda, Drazen Borkovic
  • Patent number: 11605560
    Abstract: The present invention relates to defects inspection on a silicon carbide wafer or an epitaxial layer thereon to determine the location, and adjustment of the location of the scribe line, which is a separation line forming a gap between adjacent dies. The present invention can obtain high efficiency and economy in the semiconductor process using wafers containing various defects in the surface and thin film, by minimizing the effect of wafer defects on the final yield of the semiconductor chip or die, via adjustment of scribe line positions arranged on the wafer.
    Type: Grant
    Filed: January 13, 2021
    Date of Patent: March 14, 2023
    Assignee: ETAMAX CO., LTD
    Inventors: Jongho Yoon, Min Park
  • Patent number: 11592400
    Abstract: Inspection data that corresponds to potential defects of an object may be received. A first set of locations of first potential defects can be identified. The first set of locations of the first potential defects can be imaged with a review tool to obtain a first set of review images. The first potential defects can be classified based on the first set of review images to obtain first classification results of the first potential defects. An instruction can be determined for the review tool based on the first classification results, the instruction being associated with detecting potential defects. Using the instruction, a second set of locations of second potential defects of the plurality of potential defects to be imaged with the review tool can be identified.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: February 28, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventors: Saar Shabtay, Moshe Amzaleg, Zvi Goren
  • Patent number: 11587235
    Abstract: A method for detecting a defect on a substrate, including receiving a first image, generating a second image, by converting the first image to grayscale levels, calculating a first gray level value, having a maximum number of pixels in the second image, and second and third gray level values, having a number of pixels in the second image equal to a predetermined fraction of the first gray level value, from a histogram of the number of pixels respective to the grayscale levels of the second image, converting the second image into a third image having pixels at a level lower than that of the first gray level value and a fourth image having pixels at a level equal to or higher than the first gray level value, generating fifth and sixth images by detecting edges by applying a Canny algorithm to the third and fourth images, respectively.
    Type: Grant
    Filed: January 5, 2021
    Date of Patent: February 21, 2023
    Inventors: Sunghee Lee, Kyubaik Chang
  • Patent number: 11587223
    Abstract: According to one embodiment, an inspection apparatus includes an image generation device which generates a second image corresponding to a first image and a defect detection device which detects a defect in the second image with respect to the first image. The defect detection device is configured to extract a first partial region in which an amount of change of a luminance of the first image and an amount of change of a luminance of the second image have a correlation, and correct, in the first partial region, the luminance of the first image with respect to the luminance of the second image.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: February 21, 2023
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Okano, Yoshinori Honguh
  • Patent number: 11568238
    Abstract: A computer-implemented method includes receiving a neural network model that includes a tensor operation, and dividing the tensor operation into sub-operations. The sub-operations includes at least two sub-operations that have no data dependency between the two sub-operations. The computer-implemented method further includes assigning a first sub-operation in the two sub-operations to a first computing engine, assigning a second sub-operation in the two sub-operations to a second computing engine, and generating instructions for performing, in parallel, the first sub-operation by the first computing engine and the second sub-operation by the second computing engine. An inference is then made based on a result of the first sub-operation, a result of the second sub-operation, or both. The first computing engine and the second computing engine are in a same integrated circuit device or in two different integrated circuit devices.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: January 31, 2023
    Assignee: Amazon Technologies, Inc.
    Inventors: Randy Renfu Huang, Ron Diamant, Richard John Heaton
  • Patent number: 11562476
    Abstract: There is provided a system to examine a semiconductor specimen, the system comprising a processor and memory circuitry configured to obtain a training sample comprising an image of a semiconductor specimen and a design image based on design data, train a machine learning module, wherein the training includes minimizing a function representative of a difference between a simulated image generated by the machine learning module based on a given design image, and a corrected image corresponding to a given image after correction of pixel position of the given image in accordance with a given displacement matrix, wherein the minimizing includes optimizing parameters of the machine learning module and of the given displacement matrix, wherein the trained machine learning module is usable to generate a simulated image of a specimen based on a design image of the specimen.
    Type: Grant
    Filed: September 3, 2020
    Date of Patent: January 24, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventor: Irad Peleg
  • Patent number: 11550309
    Abstract: An inspection system may receive inspection datasets from a defect inspection system associated with inspection of one or more samples, where an inspection dataset of the plurality of inspection datasets associated with a defect includes values of two or more signal attributes and values of one or more context attributes. An inspection system may further label each of the inspection datasets with a class label based on respective positions of each of the inspection datasets in a signal space defined by the two or more signal attributes, where each class label corresponds to a region of the signal space. An inspection system may further segment the inspection datasets into two or more defect groups by training a classifier with the values of the context attributes and corresponding class labels for the inspection datasets, where the two or more defect groups are identified based on the trained classifier.
    Type: Grant
    Filed: January 8, 2019
    Date of Patent: January 10, 2023
    Assignee: KLA Corporation
    Inventors: Erfan Soltanmohammadi, Ashwin Ramakrishnan, Mohit Jani
  • Patent number: 11527405
    Abstract: Systems and methods for in-die metrology using target design patterns are provided. These systems and methods include selecting a target design pattern based on design data representing the design of an integrated circuit, providing design data indicative of the target design pattern to enable design data derived from the target design pattern to be added to second design data, wherein the second design data is based on the first design data. Systems and methods can further include causing structures derived from the second design data to be printed on a wafer, inspecting the structures on the wafer using a charged-particle beam tool, and identifying metrology data or process defects based on the inspection. In some embodiments the systems and methods further include causing the charged-particle beam tool, the second design data, a scanner, or photolithography equipment to be adjusted based on the identified metrology data or process defects.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: December 13, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Lingling Pu, Wei Fang, Zhong-wei Chen
  • Patent number: 11514357
    Abstract: A method of defect discovery can include providing a nuisance bin in a nuisance filter, partitioning the defect population into a defect population partition, segmenting the defect population partition into a defect population segment, selecting from the defect population segment a selected set of defects, computing one or more statistics of the signal attributes of the defects in the defect population segment, replicating the selected set of defects to yield generated defects, shifting the generated defects outside of the defect population segment, creating a training set, and training a binary classifier. This method can be operated on a system. The method can enable a semiconductor manufacturer to determine more accurately the presence of defects that would otherwise have gone unnoticed.
    Type: Grant
    Filed: February 15, 2019
    Date of Patent: November 29, 2022
    Assignee: KLA-TENCOR CORPORATION
    Inventor: Martin Plihal
  • Patent number: 11501426
    Abstract: In an approach to tracking mass-produced items via a digital twin, one or more computer processors receive one or more images of a product. One or more computer processors retrieve a digital twin template corresponding to the product. One or more computer processors detect an imperfection in the one or more images of the product. One or more computer processors map data associated with the imperfection to the digital twin template. One or more computer processors map data associated with the imperfection to the digital twin template. One or more computer processors assign a unique identifier to the digital twin template that includes the data associated with the imperfection. One or more computer processors store the data associated with the imperfection in association with the unique identifier.
    Type: Grant
    Filed: September 17, 2020
    Date of Patent: November 15, 2022
    Assignee: International Business Machines Corporation
    Inventors: Zachary A. Silverstein, Jeremy R. Fox
  • Patent number: 11468274
    Abstract: Provided is a method and system for detection of anomalous work pieces that includes computing at least one deviation data signal for a target data signal of a target work piece with respect to reference data signals recorded for a corresponding production process step of a set of reference work pieces, performing a stepwise anomaly detection by data processing of the at least one computed deviation data signal and a process type indicator indicating a type of the production process step using a trained anomaly detection data model to calculate for each time step or path length step of the production process step an anomaly probability that the respective time step or path length step is anomalous, and classifying the target work piece and/or the production process step as being anomalous or not anomalous on the basis of the calculated anomaly probabilities.
    Type: Grant
    Filed: December 6, 2018
    Date of Patent: October 11, 2022
    Assignee: SIEMENS AKTIENGESELLSCHAFT
    Inventors: Denis Krompaß, Hans-Georg Köpken
  • Patent number: 11468556
    Abstract: This inventions provides an artificial intelligence (A.I.) identified measuring method for a semiconductor image, comprising the steps of: providing an original image of a semiconductor; identifying a type and/or a category of the original image by an artificial intelligence; introducing a predetermined dimension measuring mode corresponding to the identified type and/or the identified category to scan the original image to generate a measurement signal of the original image; and extracting a designated object from the original image to generate a specific physical parameter of the original image after operation based on a measurement signal of the designated object and the measurement signal of the original image.
    Type: Grant
    Filed: February 25, 2021
    Date of Patent: October 11, 2022
    Assignee: MSSCORPS CO., LTD.
    Inventors: Chi-Lun Liu, Jung-Chin Chen, Bang-Hao Huang, Chao-Wei Chen
  • Patent number: 11460785
    Abstract: For the qualification of a mask for microlithography, the effect of an aerial image of the mask on the wafer is ascertained by means of a simulation for predicting the wafer structures producible by means of the mask.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: October 4, 2022
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Dirk Hellweg
  • Patent number: 11455333
    Abstract: Disclosed herein are methods and systems of metadata management for reviewing data from microscopy experimental sessions. Image data from an experimental session is stored in an archive at one or more filepath locations, either locally or on a network. Metadata associated with the image data is stored in a database with a reference to the filepath where the raw image is stored, such that the metadata is associated in the database with the image data. A user can perform post-experimental filtering, sorting, and searching of the underlying image data using the metadata, which allows the image data to be analyzed without duplication of the image data and without manual review of each individual image. The filtered data is presented in an interactive timeline format.
    Type: Grant
    Filed: April 7, 2022
    Date of Patent: September 27, 2022
    Assignee: PROTOCHIPS, INC.
    Inventors: Franklin Stampley Walden, II, John Damiano, Jr., David P. Nackashi, Daniel Stephen Gardiner, Mark Uebel, Alan Philip Franks, Joshua Friend, Katherine Elizabeth Marusak
  • Patent number: 11443083
    Abstract: Methods of identifying a hot spot from a design layout or of predicting whether a pattern in a design layout is defective, using a machine learning model. An example method disclosed herein includes obtaining sets of one or more characteristics of performance of hot spots, respectively, under a plurality of process conditions, respectively, in a device manufacturing process; determining, for each of the process conditions, for each of the hot spots, based on the one or more characteristics under that process condition, whether that hot spot is defective; obtaining a characteristic of each of the process conditions; obtaining a characteristic of each of the hot spots; and training a machine learning model using a training set including the characteristic of one of the process conditions, the characteristic of one of the hot spots, and whether that hot spot is defective under that process condition.
    Type: Grant
    Filed: April 20, 2017
    Date of Patent: September 13, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Jing Su, Yi Zou, Chenxi Lin, Stefan Hunsche, Marinus Jochemsen, Yen-Wen Lu, Lin Lee Cheong
  • Patent number: 11429806
    Abstract: Devices, systems, and methods obtain one or more training images; obtain a test image; select one or more associated pixels in the training images for a target pixel in the training images; calculate respective value relationships between a value of the target pixel and respective values of the associated pixels in the training images; select one or more associated pixels in the test image for a target pixel in the test image; and detect an anomaly in the target pixel in the test image based on the respective value relationships between the value of the target pixel and the respective values of the associated pixels in the training images and on respective value relationships between a value of the target pixel and respective values of the associated pixels in the test image.
    Type: Grant
    Filed: November 8, 2019
    Date of Patent: August 30, 2022
    Assignee: Canon Virginia, Inc.
    Inventors: Xiwu Cao, Bradley Scott Denney