Fault Or Defect Detection Patents (Class 382/149)
  • Patent number: 11443083
    Abstract: Methods of identifying a hot spot from a design layout or of predicting whether a pattern in a design layout is defective, using a machine learning model. An example method disclosed herein includes obtaining sets of one or more characteristics of performance of hot spots, respectively, under a plurality of process conditions, respectively, in a device manufacturing process; determining, for each of the process conditions, for each of the hot spots, based on the one or more characteristics under that process condition, whether that hot spot is defective; obtaining a characteristic of each of the process conditions; obtaining a characteristic of each of the hot spots; and training a machine learning model using a training set including the characteristic of one of the process conditions, the characteristic of one of the hot spots, and whether that hot spot is defective under that process condition.
    Type: Grant
    Filed: April 20, 2017
    Date of Patent: September 13, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Jing Su, Yi Zou, Chenxi Lin, Stefan Hunsche, Marinus Jochemsen, Yen-Wen Lu, Lin Lee Cheong
  • Patent number: 11429806
    Abstract: Devices, systems, and methods obtain one or more training images; obtain a test image; select one or more associated pixels in the training images for a target pixel in the training images; calculate respective value relationships between a value of the target pixel and respective values of the associated pixels in the training images; select one or more associated pixels in the test image for a target pixel in the test image; and detect an anomaly in the target pixel in the test image based on the respective value relationships between the value of the target pixel and the respective values of the associated pixels in the training images and on respective value relationships between a value of the target pixel and respective values of the associated pixels in the test image.
    Type: Grant
    Filed: November 8, 2019
    Date of Patent: August 30, 2022
    Assignee: Canon Virginia, Inc.
    Inventors: Xiwu Cao, Bradley Scott Denney
  • Patent number: 11399700
    Abstract: A processing device includes: a processor including hardware, the processor being configured to acquire image data; determine, for each pixel of an image corresponding to the acquired image data, whether a pixel value of the pixel is equal to or less than a preset threshold as a dark level; accumulate, for a predetermined number of frames, the pixel value that has been determined to be equal to or less than the preset threshold and positional information regarding a position of the pixel whose pixel value has been determined to be equal to or less than the preset threshold, on the image sensor; calculate a statistical value of the accumulated pixel values for each pixel; determine, for each pixel, whether the statistical value falls outside a preset range; and correct a pixel value of the pixel whose statistical value has been determined to fall outside the preset range.
    Type: Grant
    Filed: April 2, 2020
    Date of Patent: August 2, 2022
    Assignee: OLYMPUS CORPORATION
    Inventor: Hidetoshi Shin
  • Patent number: 11387144
    Abstract: Disclosed are semiconductor devices and methods of manufacturing the same. The method comprises providing a layout comprising a first group that includes first and second patterns and a second group that includes third and fourth patterns, examining a bridge risk region in the layout, biasing one end of at least one of the first and third patterns, and forming first to fourth conductive patterns by respectively using the first to fourth patterns of the layout. The one end of at least one of the first and third patterns are adjacent to the bridge risk region.
    Type: Grant
    Filed: October 14, 2020
    Date of Patent: July 12, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Daeho Yoon, Daeseon Jeon, Jaeyoung Choi
  • Patent number: 11388383
    Abstract: An image processing apparatus includes an acquisition unit which acquires a parallax image generated based on a signal of a photoelectric converter among a plurality of photoelectric converters which receive light beams passing through partial pupil regions of an imaging optical system different from each other, and acquires a captured image generated by combining signals of the plurality of photoelectric converters, and an image processing unit which performs correction process so as to reduce a defect included in the parallax image based on the captured image.
    Type: Grant
    Filed: July 24, 2020
    Date of Patent: July 12, 2022
    Assignee: Canon Kabushiki Kaisha
    Inventor: Koichi Fukuda
  • Patent number: 11380081
    Abstract: Provided is an image processing apparatus including a memory storing at least one instruction, and a processor configured to execute the at least one instruction stored in the memory to obtain first feature information by performing a convolution operation on a first image and a first kernel included in a first convolution layer among a plurality of convolution layers, obtain at least one piece of characteristic information, based on the first feature information; obtain second feature information, based on the first feature information and the at least one piece of characteristic information, obtain third feature information by performing a convolution operation on the obtained second feature information and a second kernel included in a second convolution layer that is a layer next to the first convolution layer among the plurality of convolution layers, and obtain an output image, based on the third feature information.
    Type: Grant
    Filed: April 29, 2020
    Date of Patent: July 5, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jaeyeon Park, Yongsup Park, Iljun Ahn, Sangwook Baek, Minsu Cheon, Kwangpyo Choi
  • Patent number: 11378520
    Abstract: A vision inspection system includes a platform supporting parts for inspection at an inspection zone, an inspection station positioned adjacent the platform at the inspection zone including an imaging device to image the parts in a field of view above the upper surface, and a vision inspection controller receiving images from the imaging device. The vision inspection controller includes an auto focus module for orienting the imaging device relative to the inspection zone. The auto focus module determines a working distance for the imaging device from the inspection zone. The auto focus module calculates an image contrast score of pixel values of the images at various working distances from the inspection zone. The vision inspection controller causes the inspection station to operate the imaging device at an imaging working distance corresponding to the working distance associated with the highest image contrast score.
    Type: Grant
    Filed: April 20, 2020
    Date of Patent: July 5, 2022
    Inventors: Roberto Francisco-Yi Lu, Sonny O. Osunkwo, Lei Zhou, Scott Day, Jeffrey Zerbe
  • Patent number: 11375124
    Abstract: An optical measurement equipment includes an adjustment apparatus and at least two image capturing devices. The image capturing devices have a depth-of-field and attached to the adjustment apparatus. The image capturing devices are adjusted by the adjustment apparatus such that a portion to be measured of a workpiece is located within the depth-of-field of the image capturing devices.
    Type: Grant
    Filed: February 25, 2019
    Date of Patent: June 28, 2022
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Ming-Han Wang, Ian Hu, Meng-Kai Shih, Hsuan Yu Chen
  • Patent number: 11353162
    Abstract: An unmanned aerial vehicle (UAV)-based intelligent anomaly identification method for petroleum pipeline inspection is provided. The precise UAV cruise technology is combined with a target detection algorithm to design an intelligent petroleum pipeline inspection method, which realizes fast anomaly detection for petroleum pipeline inspection based on the existing computer processing capability. In addition, a lot of optimization algorithms and improvements are made for target detection under special working conditions of UAV inspection, and a dedicated target detection network model adapted to these conditions is trained. The UAV-based intelligent anomaly identification method realizes accurate, real-time anomaly reporting for petroleum pipeline inspection, reduces the blindness of manual inspection, greatly improves inspection efficiency, reduces labor costs, and has practicability.
    Type: Grant
    Filed: November 5, 2021
    Date of Patent: June 7, 2022
    Assignee: CHENGDU UNIVERSITY OF TECHNOLOGY
    Inventors: Qiong Li, Lin Yue, Yu Zhang, Jianjun He
  • Patent number: 11349433
    Abstract: Testing to detect intermittent electrical pathways is described. Applied currents may be reversed to fully test all components of a workpiece. Various testing methodologies may be employed. These methodologies may include Time Domain Reflectometry (TDR), mechanical agitation, dark current/voltage testing, (dark IV), i.e., electrical testing of a workpiece using applied electricity, and thermographic imaging, e.g., infra-red thermal imaging. The sensed voltage during agitation may be compared to a benchmark voltage to determine whether or not an intermittent failure exists.
    Type: Grant
    Filed: October 6, 2020
    Date of Patent: May 31, 2022
    Assignee: SunPower Corporation
    Inventors: Katherine Han, Jack Stewart, Hai-Yue Han
  • Patent number: 11335535
    Abstract: Provided is a charged particle beam apparatus capable of estimating an internal device structure of a sample. The charged particle beam apparatus includes an electron beam optical system, a detector, and a calculator. The electron beam optical system irradiates a plurality of irradiation points on a sample, which are different in position or time, with an electron beam. The detector detects electrons emitted from the sample in response to irradiation of the electron beam by the electron beam optical system. The calculator calculates a dependence relationship between the irradiation points based on the electrons detected by the detector at the plurality of irradiation points.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: May 17, 2022
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Yohei Nakamura, Takafumi Miwa, Heita Kimizuka, Natsuki Tsuno, Muneyuki Fukuda
  • Patent number: 11334981
    Abstract: A method for detecting a screen is provided, which may improve detection accuracy of defective sub-pixels in the display screen. The method includes: obtaining an image of a screen to be detected; performing Gabor filtering on the image of the screen to be detected to obtain a plurality of Gabor filtered images; performing image fusion on the plurality of Gabor filtered images to obtain a fused image; segmenting the fused image by using different gray thresholds to obtain segmented images; and performing defect detection according to the segmented images to determine whether there is a defective sub-pixel in the screen to be detected. A value range of different gray thresholds is within a gray value range of the fused image.
    Type: Grant
    Filed: April 16, 2020
    Date of Patent: May 17, 2022
    Assignees: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Xiaolei Liu, Minglei Chu, Lili Chen, Hao Zhang
  • Patent number: 11328411
    Abstract: Systems and methods for detecting defects on a reticle are provided. One system includes computer subsystem(s) configured for performing at least one repeater defect detection step in front-end processing during an inspection process performed on a wafer having features printed in a lithography process using a reticle. The at least one repeater defect detection step performed in the front-end processing includes identifying any defects detected at corresponding locations in two or more test images by double detection and any defects detected by stacked defect detection as first repeater defect candidates. One or more additional repeater defect detections may be performed on the first repeater defect candidates to generate final repeater defect candidates and identify defects on the reticle from the final repeater defect candidates.
    Type: Grant
    Filed: April 30, 2021
    Date of Patent: May 10, 2022
    Assignee: KLA Corp.
    Inventors: Hong Chen, Kenong Wu, Xiaochun Li, James A. Smith, Eugene Shifrin, Qing Luo, Michael Cook, Wei Si, Leon Yu, Bjorn Brauer, Nurmohammed Patwary, Ramon Ynzunza, Neil Troy
  • Patent number: 11314721
    Abstract: Systems are provided for managing defect data objects. A system stores a plurality of defect data objects that have been input to the system, and generates an issue item including one or more defect data objects that are selected from the stored defect data objects based on user input. The system determines similarity between the one or more defect data objects in the issue item and one or more of the stored defect data objects that are out of the issue item, based on comparison of one or more parameter values. The system determines one or more candidate defect data objects to be included in the issue item from the one or more of the stored defect data objects that are out of the issue item based on the similarity, and includes one or more of the determined candidate defect data objects in the issue item based on user input.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: April 26, 2022
    Assignee: Palantir Technologies Inc.
    Inventors: Jason Ma, Allen Cai, Andrew Cooper, Arnaud Drizard, Benjamin Lee, Damien Cramard, Damian Rusak, Hind Kraytem, Jan Matas, Ludovic Lay, Myles Scolnick, Radu-Andrei Szasz, Stefan Negrus, Taylor Cathcart, Zhixian Shen
  • Patent number: 11315231
    Abstract: An industrial image inspection method includes: generating a test latent vector of a test image; measuring a distance between a training latent vector of a normal image and the test latent vector of the test image; and judging whether the test image is normal or defected according to the distance between the training latent vector of the normal image and the test latent vector of the test image.
    Type: Grant
    Filed: December 12, 2018
    Date of Patent: April 26, 2022
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Yu-Ting Lai, Jwu-Sheng Hu, Ya-Hui Tsai, Keng-Hao Chang
  • Patent number: 11304779
    Abstract: A method for manufacturing an orthodontic aligner includes printing a mold associated with a dental arch of a patient based on a digital model of the mold, forming the orthodontic aligner over the mold, and trimming the orthodontic aligner. The method further includes assessing a quality of the orthodontic aligner by receiving a digital representation of the orthodontic aligner, the digital representation having been generated based on imaging of the orthodontic aligner, analyzing the digital representation of the orthodontic aligner to identify a quality-related property of the orthodontic aligner, determining, based on the quality-related property, that the orthodontic aligner comprises a manufacturing flaw, and classifying the orthodontic aligner as requiring further inspection by a technician based on determining that the orthodontic aligner comprises the manufacturing flaw.
    Type: Grant
    Filed: April 1, 2021
    Date of Patent: April 19, 2022
    Assignee: Align Technology, Inc.
    Inventors: Anatoliy Parpara, Ivan Kharpalev, Stephan Albert Alexandre Dumothier, Andrey Cherkas, Alexey Kalinichenko, Jack Shaw, Israel Velazquez
  • Patent number: 11287807
    Abstract: Described herein are improvements for identifying defects during automated item production. In one example, a method includes identifying a first defect in a first item. The first defect is associated with a stage of production of the first produced item. The method further includes retrieving first parametric data associated with the stage for the first item and identifying one or more defect indicators based on the first parametric data and second parametric data associated with the stage for one or more second items having defects associated with the stage. The method also includes monitoring subsequent parametric data associated with the stage to recognize the one or more defect indicators in the subsequent parametric data.
    Type: Grant
    Filed: May 22, 2019
    Date of Patent: March 29, 2022
    Assignee: Rockwell Automation Technologies, Inc.
    Inventors: Gregory J. Vance, Robert F. Trinnes, Mikica Cvijetinovic, Francisco P. Maturana
  • Patent number: 11282185
    Abstract: There is provided with an information processing device. A defect detecting unit detects a defect of an object in an input image. An extracting unit extracts a feature amount pertaining to a partial image of the defect from the input image, on the basis of a result of detecting the defect. An attribute determining unit determines an attribute of the defect using the feature amount pertaining to the partial image of the defect.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: March 22, 2022
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsushi Nogami, Yusuke Mitarai
  • Patent number: 11282229
    Abstract: It is possible to provide an inspection apparatus having a function of automatically checking a validity of an appearance inspection result without manual operation. An inspection apparatus for performing an appearance inspection using a plurality of images obtained by imaging an inspection target includes a determination unit that estimates an inspection result on each of the plurality of images based on a predetermined relationship model between the image and the inspection result and calculates a comprehensive inspection result based on reliability of each of the inspection results on the plurality of images.
    Type: Grant
    Filed: April 11, 2019
    Date of Patent: March 22, 2022
    Assignee: FANUC CORPORATION
    Inventors: Yuusuke Oota, Shouta Takizawa
  • Patent number: 11276158
    Abstract: A method and an apparatus for inspecting a corrosion defect of a ladle are provided. The method includes: acquiring images from various angles using an image acquisition apparatus inside a to-be-inspected ladle; and inputting the acquired images into a defect inspection system to obtain a label representing a defect category, the defect inspection system including: a deep convolutional neural network that predicts a category of a corrosion defect of the ladle included in the images based on the input images. This method has the advantages of high safety, high accuracy and high real-time performance in inspecting the ladle status.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: March 15, 2022
    Assignee: BEIJING BAIDU NETCOM SCIENCE AND TECHNOLOGY CO., LTD.
    Inventors: Yawei Wen, Jiabing Leng, Minghao Liu, Yulin Xu, Faen Zhang, Jiangliang Guo, Xu Li, Jin Tang
  • Patent number: 11275361
    Abstract: An initial inspection or critical dimension measurement can be made at various sites on a wafer. The location, design clips, process tool parameters, or other parameters can be used to train a deep learning model. The deep learning model can be validated and these results can be used to retrain the deep learning model. This process can be repeated until the predictions meet a detection accuracy threshold. The deep learning model can be used to predict new probable defect location or critical dimension failure sites.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: March 15, 2022
    Assignee: KLA-Tencor Corporation
    Inventor: Arpit Yati
  • Patent number: 11264256
    Abstract: Provided is a wafer inspection apparatus including a monochromator that extracts monochromatic light, a collimator that outputs the monochromatic light as parallel light, a first polarization assembly that polarizes the parallel light and radiates the polarized light to a wafer, an imaging optical system that condenses light reflected from the wafer, a spectroscope that splits the condensed light into a plurality of spectrums, a first lens that condenses the plurality of spectrums, a second polarization assembly that outputs the plurality of spectrums as a plurality of polarized lights having different diffraction orders and a difference of 90°, a second lens that condenses the plurality of polarized lights, a third polarization assembly that outputs common polarized light based on the plurality of polarized interfering with each other, a camera that generates a phase difference image based on the common polarized light, and a signal processor that analyzes the phase difference image.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: March 1, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jaehwang Jung, Gwangsik Park, Wookrae Kim, Juntaek Oh
  • Patent number: 11257206
    Abstract: A method for correcting a processing condition includes imaging a substrate using an imaging device before start and after completion of a series of processings; specifying a processing apparatus estimated as having an abnormality, based on an imaging result and information on the processing apparatus; performing the unit processing in the processing apparatus on an inspection substrate under a predetermined processing condition, and imaging the inspection substrate by the imaging device before and after performing the unit processing; determining presence/absence of an actual abnormality in the processing apparatus specified in the specifying; and correcting, with respect to the processing apparatus determined as having the actual abnormality in the determining presence/absence of an actual abnormality, the processing condition of the unit processing in the processing apparatus based on the imaging result in the imaging the inspection substrate for determining an abnormality.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: February 22, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Takuya Mori
  • Patent number: 11255898
    Abstract: The invention relates to a system in particular a quantum sensor system, for testing a device-under-test, DUT, comprising: an optically excitable medium which is arranged to receive electromagnetic, EM, radiation emitted by the DUT, at least one light source configured to irradiate the medium with at least one light beam, wherein the medium is optically excited by the at least one light beam, a field generator unit configured to generate an electric and/or magnetic field within the medium, wherein a resonance frequency of the excited medium is modified by an amplitude of the electric and/or magnetic field, wherein an optical parameter, in particular a luminescence, of the exited medium is locally modified if a frequency of the EM radiation corresponds to the resonance frequency at a position in the medium, an image detector configured to acquire an image of the medium, wherein the image shows an intensity profile that results from the modification of the optical parameter, a processor configured to analyze th
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: February 22, 2022
    Assignee: Rohde & Schwarz GmbH & Co. KG
    Inventors: Thomas Winkler, Thomas Ruster, Ryanne Leong
  • Patent number: 11250551
    Abstract: Some embodiments of devices, systems, and methods generate a respective connection mask at each defect detection location in a binary defect map, wherein each detection location in the binary defect map has a value that indicates that the detection location either has a defect or, alternatively, does not have a defect; generate one or more clusters of defect detection locations in the binary defect map based on each defect location's respective connection mask and on the binary defect map; generate respective bounding boxes for the one or more clusters; and recursively perform the following: checking respective sizes of the bounding boxes, and splitting any clusters of the one or more clusters that have respective bounding boxes with sizes that exceed one or more thresholds, thereby generating additional clusters of defect locations.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: February 15, 2022
    Assignee: Canon Virginia, Inc.
    Inventor: Yunzhe Zhao
  • Patent number: 11210778
    Abstract: An image processing apparatus includes an identifiability value obtaining portion, an inspection region generation portion, and an image inspection portion. The identifiability value obtaining portion is configured to obtain, for each pixel address constituting an image plane, an identifiability value for identifying which of a first inspection result and a second inspection result the pixel address corresponds to. The inspection region generation portion is configured to generate an inspection region serving as a target of image processing by setting a portion of the image plane including the pixel address where the obtained identifiability value satisfies a specific condition as the inspection region. The image inspection portion is configured to perform image processing for inspection on a partial image corresponding to the inspection region among a third image obtained by imaging a third target object.
    Type: Grant
    Filed: October 18, 2019
    Date of Patent: December 28, 2021
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kei Watanabe
  • Patent number: 11204332
    Abstract: Defects from a hot scan can be saved, such as on persistent storage, random access memory, or a split database. The persistent storage can be patch-based virtual inspector virtual analyzer (VIVA) or local storage. Repeater defect detection jobs can determined and the wafer can be inspected based on the repeater defect detection jobs. Repeater defects can be analyzed and corresponding defect records to the repeater defects can be read from the persistent storage. These results may be returned to the high level defect detection controller.
    Type: Grant
    Filed: April 10, 2020
    Date of Patent: December 21, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: Eugene Shifrin, Bjorn Brauer, Sumit Sen, Ashok Mathew, Sreeram Chandrasekaran, Lisheng Gao
  • Patent number: 11204242
    Abstract: A measurement system is provided, including a measurement machine and a computer. The measurement machine is configured to measure a thickness T1 of a to-be-tested circuit board and a drilling depth D1 of the to-be-tested circuit board. The computer calculates a length S1 of a residual conductive portion in a back drilled hole of the to-be-tested circuit board according to a thickness T of a reference circuit board, a drilling depth D of the reference circuit board, a length S of a residual conductive portion in a back drilled hole of the reference circuit board, the thickness T1 of the to-be-tested circuit board and the drilling depth D1 of the to-be-tested circuit board.
    Type: Grant
    Filed: September 2, 2020
    Date of Patent: December 21, 2021
    Assignee: Gold Circuit Electronics Ltd.
    Inventors: Tien-Chieh Kang, Chih-Ming Tsai
  • Patent number: 11200661
    Abstract: An image generation apparatus configured to generate a substrate image for inspection regarding a defect on a substrate, the substrate having a frame pattern formed on a surface thereof, the frame pattern being a unique pattern for each kind of a treatment recipe for the substrate, the image generation apparatus including: a region estimator configured to estimate a region corresponding to the frame pattern in a substrate image of an inspection object based on an identification model, the identification model being acquired by machine learning in advance and for identifying an image of the frame pattern included in a substrate image; and an eraser configured to erase the image of the frame pattern from the substrate image of the inspection object based on an estimation result by the region estimator to generate the substrate image for inspection.
    Type: Grant
    Filed: February 5, 2020
    Date of Patent: December 14, 2021
    Assignee: Tokyo Electron Limited
    Inventor: Chie Sasaki
  • Patent number: 11201074
    Abstract: A system includes a controller communicatively coupled to an optical inspection sub-system, the controller configured to: receive training images of a sample; identify alignment targets in the master die; receive a first set of reference images of a first die row of a sample, the first die row including a master die and a first set of reference die; align the first set of reference die to the master die via fine alignment processes to generate a first set of aligned reference images of the first row; receive a second set of reference images of a second die row of the sample; align the second set of reference die to the master die based on the alignment targets and the training images using a course alignment offset value; and align the second set of reference die to the master die via a fine alignment process.
    Type: Grant
    Filed: January 31, 2020
    Date of Patent: December 14, 2021
    Assignee: KLA Corporation
    Inventor: Hong Chen
  • Patent number: 11195299
    Abstract: An image processing device according to an aspect of the present invention includes: at least one memory storing a set of instructions; and at least one processor configured to execute the set of instructions to: extract a cluster image including a cluster of particles from a particle image; estimate particle density of the cluster image; determine numbers based on the particle density, and synthesize a second dictionary image from first dictionary images having densities each being equal to the numbers, the first dictionary images being images of particles of which positions is obtained; match the second dictionary image with the partial image, and determine positions of particles in the cluster image based on a result of the matching and the positions of particles of the first dictionary images; and output the determined positions of particles.
    Type: Grant
    Filed: September 12, 2017
    Date of Patent: December 7, 2021
    Assignee: NEC CORPORATION
    Inventors: Kapik Lee, Takashi Shibata, Atsushi Sato
  • Patent number: 11189019
    Abstract: A method for detecting defects in manufactured objects includes acquiring a high-resolution image of an object for test, dividing the image into a plurality of smaller sub-images and determining, by a first model, whether each of the small sub-images is similar to a preset template image. The test object is determined to be flawless, when each of the sub-images is found to be similar to a template image. When sub-images are not found sufficiently similar to template images, determining, by a second model, whether a defect is shown to exist within each sub-image, and if so the test object is declared defective. The longer application of the second model is only applied if testing for defects is not resolved by the application of the first model. An electronic device and a computer readable storage medium are also provided.
    Type: Grant
    Filed: August 13, 2019
    Date of Patent: November 30, 2021
    Assignee: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: Jung-Yi Lin
  • Patent number: 11175248
    Abstract: An improved charged particle beam inspection apparatus, and more particularly, a particle beam apparatus for inspecting a wafer including an improved scanning mechanism for detecting fast-charging defects is disclosed. An improved charged particle beam inspection apparatus may include a charged particle beam source that delivers charged particles to an area of the wafer and scans the area. The improved charged particle beam apparatus may further include a controller including a circuitry to produce multiple images of the area over a time sequence, which are compared to detect fast-charging defects.
    Type: Grant
    Filed: September 18, 2019
    Date of Patent: November 16, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Long Ma, Chih-Yu Jen, Zhonghua Dong, Peilei Zhang, Wei Fang, Chuan Li
  • Patent number: 11151405
    Abstract: A method, system and computer program product are provided for determining locations of seal plugs of a connector based on image analysis. Methods include: capturing an image of a connector having a plurality of openings and at least one seal plug received within at least one opening of the connector; detecting an area of the connector within the acquired image; performing a thresholding operation on the area within the acquired image of the connector to obtain a mask image; performing image post-processing on the mask image to obtain an output image; applying at least one of a blob detection or a tip detection to the output image; identifying locations of seal plugs within the output image based on the at least one of the blob detection or the tip detection; and identifying locations within the connector available for automated wire contact insertion based on the locations of the seal plugs.
    Type: Grant
    Filed: June 19, 2020
    Date of Patent: October 19, 2021
    Assignee: THE BOEING COMPANY
    Inventor: Heiko Hoffmann
  • Patent number: 11138507
    Abstract: A system, method and computer software product, the system capable of classifying defects and comprising: an hardware-based GUI component; and a processing and memory circuitry configured to: a. upon obtaining data informative of a plurality of defects and attribute values thereof, using the attribute values to create initial classification of the plurality of defects into a plurality of classes; b. for a given class, presenting to a user, by the hardware-based GUI component, an image of a defect initially classified to the given class with a low likelihood, wherein the image is presented along with images of one or more defects initially classified to the given class with the highest likelihood; and c. subject to confirming by the user, using the hardware-based GUI component, that the at least one defect is to be classified to the given class, indicating the at least one defect as belonging to the given class.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: October 5, 2021
    Assignee: Applied Materials Israel LTD.
    Inventors: Assaf Asbag, Boaz Cohen
  • Patent number: 11132786
    Abstract: A board defect filtering method is provided. The method includes: receiving a defect list; obtaining a plurality of defect images of a plurality of defect records on the defect list; receiving a circuit layout image; analyzing a defect location of a first defect image of the plurality of defect images according to the circuit layout image; cropping the first defect image to obtain a first cropped defect image according to the defect location; inputting the first cropping defect image to a defect classifying model; and determining whether the first defect image is a qualified product image or not according to an output result of the defect classifying model.
    Type: Grant
    Filed: September 19, 2018
    Date of Patent: September 28, 2021
    Assignee: Industrial Technology Research Institute
    Inventors: Ming-Kaan Liang, An-Chun Luo, Yu-Shan Deng, Chih-Ming Shen, Ming-Ji Dai
  • Patent number: 11127134
    Abstract: The present specification provides a film defect detection system. The film defect detection system may comprise an image acquisition unit configured to acquire an image of a film in a manufacturing process of the film; a defect detection unit configured to detect defects in the film by analyzing the acquired image of the film, using a machine learning algorithm learned to detect a defect in advance, when receiving the acquired image of the film; and an information output unit configured to output information on the defects in the film detected by the defect detection unit.
    Type: Grant
    Filed: October 1, 2019
    Date of Patent: September 21, 2021
    Assignee: SKC CO., LTD.
    Inventors: Il Taek Hong, In Suk Oh, Hyeon Guk Kim, Kwang Seok Oh
  • Patent number: 11119414
    Abstract: A defect prediction method for a device manufacturing process involving production substrates processed by a lithographic apparatus, the method including training a classification model using a training set including measured or determined values of a process parameter associated with the production substrates processed by the device manufacturing process and an indication regarding existence of defects associated with the production substrates processed in the device manufacturing process under the values of the process parameter, and producing an output from the classification model that indicates a prediction of a defect for a substrate.
    Type: Grant
    Filed: April 17, 2020
    Date of Patent: September 14, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Scott Anderson Middlebrooks, Willem Maria Julia Marcel Coene, Frank Arnoldus Johannes Maria Driessen, Adrianus Cornelis Matheus Koopman, Markus Gerardus Martinus Maria Van Kraaij
  • Patent number: 11092490
    Abstract: The invention relates to a method and a spectrometer for wavelength-dependent measurement of radiation in the range of UV light and visible light, with an entry gap, a dispersive element and a number of sensors comprising pixels, wherein a light path runs inside the spectrometer from the entry slot to the sensors and an imaging element is provided, which focusses the radiation on the sensors, in the case of which a means for defocussing the radiation is provided, which is activatable for the purpose of calibration.
    Type: Grant
    Filed: December 9, 2019
    Date of Patent: August 17, 2021
    Assignee: SPECTRO ANALYTICAL INSTRUMENTS GMBH
    Inventor: Heinz-Gerd Joosten
  • Patent number: 11087452
    Abstract: A false alarm reduction system and method are provided for reducing false alarms in an automatic defect detection system. The false alarm reduction system includes a defect detection system, generating a list of image boxes marking detected potential defects in an input image. The false alarm reduction system further includes a feature extractor, transforming each of the image boxes in the list into a respective set of numerical features. The false alarm reduction system also includes a classifier, computing as a classification outcome for the each of the image boxes whether the detected potential defect is a true defect or a false alarm responsive to the respective set of numerical features for each of the image boxes.
    Type: Grant
    Filed: January 16, 2019
    Date of Patent: August 10, 2021
    Inventors: Alexandru Niculescu-Mizil, Renqiang Min, Eric Cosatto, Farley Lai, Hans Peter Graf, Xavier Fontaine
  • Patent number: 11087456
    Abstract: To enable a non-defective product image or a defective product image to be easily additionally learned in both a setting mode and a run mode. Designation as to whether an inspection target image displayed on a run mode screen is additionally learned as a non-defective product image or a defective product image is received. A distinguishing device generating unit additionally learns, as a non-defective product image or a defective product image, the inspection target image designated as the additional learning target and updates a distinguishing device.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: August 10, 2021
    Assignee: KEYENCE CORPORATION
    Inventors: Shunichi Hino, Daisuke Kida
  • Patent number: 11080840
    Abstract: An automatic optical inspection device, including an image storage unit; an image computing unit; and an image acquisition unit. The image storage unit includes a first communication interface and a second communication interface. The image computing unit includes a first optical interface, a second optical interface, a third optical interface, and a fourth optical interface; the image acquisition unit includes a third communication interface and a camera interface. The image storage unit is configured to transmit configuration parameters and test commands to the image computing unit, receive a test result transmitted from the image computing unit via the first communication interface, and receive data from the image acquisition unit via the second communication interface. The image computing unit is configured to receive the configuration parameters and test commands from the image storage unit, and transmit the test result to the image storage unit via the first fiber interface.
    Type: Grant
    Filed: April 30, 2020
    Date of Patent: August 3, 2021
    Assignee: WUHAN JINGCE ELECTRONIC GROUP CO., LTD.
    Inventors: Yafei Shen, Changdong Ou, Biaohua Deng, Zhou Wang, Linhai Mei, Wenzhong Dong, Wentian Tang, Bo Li
  • Patent number: 11069582
    Abstract: Semiconductor manufacturing equipment includes a thickness calculation function, the thickness calculation function including a measurement value acquisition unit configured to acquire a plurality of measurement values at different measurement positions of the wafer from a thickness measurement function configured to measure a thickness of the wafer, a histogram data creation unit configured to create histogram data based on the plurality of measurement values, and a grade group extraction unit configured to extract a grade group from the histogram data, the grade group including sequential grades having frequencies equal to or greater than a predetermined frequency, the thickness calculation function further including a representative value calculation unit configured to calculate a representative value of a thickness of a measurement region based on the grades included in the extracted grade group.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: July 20, 2021
    Assignee: Mitsubishi Electric Corporation
    Inventor: Hiroshi Tanaka
  • Patent number: 11064166
    Abstract: Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for preserving privacy in surveillance. The methods, systems, and apparatus include actions of determining a state of a monitoring system, determining an exclusion zone that is shown in a video, determining whether to obfuscate at least a portion of the video based on the exclusion zone and the state of the monitoring system, and obfuscating at least the portion of the video.
    Type: Grant
    Filed: June 18, 2020
    Date of Patent: July 13, 2021
    Assignee: Alarm.com Incorporated
    Inventors: Stephen Scott Trundle, Daniel Todd Kerzner, Donald Gerard Madden, Benjamin Asher Berg
  • Patent number: 11050988
    Abstract: A method for shadow correction verification parameter determination, the method comprising: obtaining correction data in each block of a plurality of correction images, the correction data comprising luma information and color information; wherein the luma information is the ratio of a luma to a luma of a center block, and the color information is a ratio of a color value to a color value of the center block; and calculating at least one set of standard values and deviation values of each block by using the luma information and the color information in each block of the plurality of correction images and taking the at least one set of standard values and deviation values to be correction verification parameters in the shadow correction verification.
    Type: Grant
    Filed: March 25, 2019
    Date of Patent: June 29, 2021
    Assignee: SHANGHAI XIAOYI TECHNOLOGY CO., LTD.
    Inventors: Xiaomin Wang, Xie Wu
  • Patent number: 11042976
    Abstract: To suppress erroneous input in inputting a non-defective product image and a defective product image, thereby increasing accuracy of distinguishing between a non-defective product image and a defective product image. An additional image that is added with an attribute as either one of a non-defective product and a defective product by a user is plotted in a feature space, and the probability that the attribute of the additional image is wrong is estimated. In the case in which the additional image is expected to have a wrong attribute, this result is notified. Result of selection whether to correct the attribute of the additional image by a user who receives the notification is received. A classifier generator 22 determines the attribute of the additional image on the basis of the result of selection and corrects a classification boundary in accordance with the determined attribute.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: June 22, 2021
    Assignee: KEYENCE CORPORATION
    Inventor: Di He
  • Patent number: 11041815
    Abstract: An inspection information generation device includes a design information acquirer configured to acquire design information of a sample to be inspected, a candidate region extractor configured to use the design information to extract multiple candidate regions, an image capturer configured to capture images of the multiple candidate regions, a similarity calculator configured to use the images of the multiple candidate regions to calculate a similarity or distance between the multiple candidate regions, and a region determiner configured to use the similarity or the distance to determine, as inspection information, at least one reference region corresponding to a region to be inspected.
    Type: Grant
    Filed: May 23, 2016
    Date of Patent: June 22, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Takahiro Urano, Toshifumi Honda, Takashi Hiroi, Nobuaki Hirose
  • Patent number: 11039561
    Abstract: A component mounting system includes: a component mounting machine that mounts an electronic component having a predetermined electrode portion on a solder printed on a substrate, the electronic component being fixed to the substrate with a thermosetting adhesive; and an adhesive inspection device. The component mounting machine: sets, with regard to the electronic component to be fixed with the adhesive that cures at a temperature lower than a melting temperature of the solder, a target mounting height along a height direction perpendicular to a face of the substrate on which the adhesive is applied; and mounts the electronic component at the target mounting height. The target mounting height is: an ideal mounting height based on design data; or a height lower than the ideal mounting height by a value that corresponds to a sinking of the electronic component as a result of melting of the solder.
    Type: Grant
    Filed: September 18, 2019
    Date of Patent: June 15, 2021
    Assignee: CKD CORPORATION
    Inventors: Ikuo Futamura, Tsuyoshi Ohyama, Norihiko Sakaida, Kazuyoshi Kikuchi
  • Patent number: 11029486
    Abstract: A microscope includes an illumination light emission unit 10 that emits illumination light, a stage 61 on which a culture container 60 is placed, an objective lens 31 on which the illumination light having passed through the culture container 60 and the stage 61 is incident, a focusing light emission unit 70 that emits focusing light, a reflected light detection unit 75 that detects reflected light due to emission of the focusing light, a distance changing unit 34 that changes a distance between the objective lens 31 and the stage 61, an autofocus control unit 51 that performs autofocus control based on the reflected light, and a focus control information acquisition unit 53 that acquires focus control information including information of the culture container 60, the amount of culture solution C, and the magnification of the objective lens 31.
    Type: Grant
    Filed: August 6, 2018
    Date of Patent: June 8, 2021
    Assignee: FUJIFILM Corporation
    Inventor: Kenta Matsubara
  • Patent number: 11024022
    Abstract: A non-transitory computer-readable recording medium storing a program that causes a computer to execute a procedure, the procedure includes generating, for each of a plurality of wafers, extended coordinates including a position on the wafer and a value calculated from a distance from a center of the wafer and a contribution parameter, for each defect on the wafer by using information of a defect position on the wafer, generating a Betti number group by persistent homology processing for a plurality of extended coordinates generated for each of the plurality of wafers generating, for each of the plurality of wafers, a defect pattern image from a plurality of Betti number groups generated for the plurality of values of contribution parameter, and generating machine learning data associating a plurality of defect pattern images generated for the plurality of wafers with determination information associated with the plurality of wafers.
    Type: Grant
    Filed: April 5, 2019
    Date of Patent: June 1, 2021
    Assignee: FUJITSU LIMITED
    Inventors: Yuhei Umeda, Tsutomu Ishida