Fault Or Defect Detection Patents (Class 382/149)
  • Patent number: 9911028
    Abstract: In this image acquisition device, a stage driving unit moves a position of a field of view of an objective lens relative to a sample at a predetermined velocity, and a two-dimensional imaging element sequentially captures an optical image of the sample at a predetermined frame rate. Therefore, time required for acquiring partial images over the entire sample is shortened. Further, in this image acquisition device, the moving velocity of the position of the field of view is a velocity set based on a frame rate of the imaging element. Therefore, the movement of the position of the field of view and the imaging of the imaging element are synchronized with each other, and it is possible to capture only necessary partial images.
    Type: Grant
    Filed: January 28, 2014
    Date of Patent: March 6, 2018
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventor: Fumio Iwase
  • Patent number: 9909849
    Abstract: A system and method for measuring medication includes providing a graphical user interface (GUI) including a representation of a medication or a medication container. An indication to measure at least one dimension of the medication or the medication container is provided in the GUI. At least one signal including at least one measured dimension of the medication or the medication container is received by one or more processors that process and store the at least one measured dimension. The at least one measured dimension is provided in the GUI in association with the indication to measure the at least one dimension of the medication or the medication container.
    Type: Grant
    Filed: January 19, 2015
    Date of Patent: March 6, 2018
    Assignee: Aesynt Incorporated
    Inventors: Robert Eckert, Kirk Young
  • Patent number: 9904995
    Abstract: An inspection system that may include a processor and a memory module; wherein the memory module is configured to store a first image of an area of an object and a second image of the area of the object; wherein the processor is configured to generate a synthetic image of the area of the object, and to compare the synthetic image to the second image to provide defect detection results.
    Type: Grant
    Filed: December 9, 2015
    Date of Patent: February 27, 2018
    Assignee: APPLIED MATERIALS ISRAEL, LTD.
    Inventors: Leonid Karlinsky, Moshe Rosenweig, Boaz Cohen
  • Patent number: 9898814
    Abstract: An image inspection apparatus for inspecting an output image on a recording medium by scanning the output image as a scanned image includes an inspection reference image generator to generate an inspection reference image using data of an output-target image; an image inspection unit to determine whether the scanned image includes a defect by comparing a difference between the inspection reference image and the scanned image with a given threshold; and a threshold determiner to determine the given threshold. The threshold determiner computes a difference between the inspection reference image and the scanned image. The threshold determiner determines the given threshold based on the difference between the scanned image and the inspection reference image.
    Type: Grant
    Filed: June 2, 2016
    Date of Patent: February 20, 2018
    Assignee: Ricoh Company, Ltd.
    Inventors: Tadashi Kitai, Noritaka Masuda
  • Patent number: 9891538
    Abstract: Methods and systems for determining a process window for a process performed on a specimen are provided. In general, the embodiments preferentially sample locations in an instance of at least a portion of a device formed on a specimen at a value of a parameter of a process performed on the specimen that is closest to an edge of a determined process window for the process. If defects are detected at the sampled locations, then the sampling may be performed again but for a different instance of the device formed at a value of the parameter that is closer to nominal than the previously used value. When no defects are detected at the sampled locations, then the sampling may be ended, and the determined process window may be modified based on the value of the parameter corresponding to the instance of the device in which no defects were detected.
    Type: Grant
    Filed: March 10, 2016
    Date of Patent: February 13, 2018
    Assignee: KLA-Tencor Corp.
    Inventor: Martin Plihal
  • Patent number: 9870077
    Abstract: A touch panel correcting apparatus for correcting a first coordinate and a second coordinate associated with a touch position is provided. The correcting apparatus includes a memory and a controller. The memory stores a look-up table storing a plurality of corrected coordinates. The controller selects a corrected first coordinate from the look-up table according to the first coordinate, and substitutes the corrected first coordinate and the second substitute into a function to generate a corrected second coordinate corresponding to the second coordinate.
    Type: Grant
    Filed: January 27, 2014
    Date of Patent: January 16, 2018
    Assignee: MSTAR SEMICONDUCTOR, INC.
    Inventors: Chun-Chen Liu, Meng-Che Tsai
  • Patent number: 9858659
    Abstract: Provided is a pattern inspecting and measuring device that decreases the influence of noise and the like and increases the reliability of an inspection or measurement result during inspection or measurement using the position of an edge extracted from image data obtained by imaging a pattern as the object of inspection or measurement. For this purpose, in the pattern inspecting and measuring device in which inspection or measurement of an inspection or measurement object pattern is performed using the position of the edge extracted, with the use of an edge extraction parameter, from the image data obtained by imaging the inspection or measurement object pattern, the edge extraction parameter is generated using a reference pattern having a shape as an inspection or measurement reference and the image data.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: January 2, 2018
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tsuyoshi Minakawa, Takashi Hiroi, Takeyuki Yoshida, Taku Ninomiya, Takuma Yamamoto, Hiroyuki Shindo, Fumihiko Fukunaga, Yasutaka Toyoda, Shinichi Shinoda
  • Patent number: 9846931
    Abstract: An object of the invention is to provide a pattern measuring device for generating appropriate reference pattern data while suppressing an increase in the manufacturing cost that would occur when manufacturing conditions are finely changed. A pattern measuring device has an arithmetic processing unit for measuring a pattern formed on a sample. The arithmetic processing unit, on the basis of signals obtained with a charged particle beam device, acquires or generates image data or contour line data on a plurality of circuit patterns created under different manufacturing conditions of a manufacturing apparatus, and generates reference data to be used for measurement of a circuit pattern from the image data or contour line data.
    Type: Grant
    Filed: February 18, 2013
    Date of Patent: December 19, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasutaka Toyoda, Hiroyuki Shindo, Yoshihiro Ota
  • Patent number: 9846928
    Abstract: An inspection method and apparatus comprising, a step of reflecting linearly-polarized light having a predetermined wavelength using an non-polarizing beam splitter after transmitting the linearly-polarized light through a half-wave plate, irradiating a sample with the linearly-polarized light having a polarization plane of a predetermined angle, causing the light reflected by the sample to be incident to an image capturing sensor through a lens, the non-polarizing beam splitter, and an analyzer, and acquiring an optical image of a pattern formed on the sample; acquiring a plurality of optical images by changing an angle of the analyzer or the half-wave plate, and obtaining an angle of the analyzer or the half-wave plate such that a value of (?/?A) becomes a minimum; and a step of inspecting whether a defect of the pattern exists, wherein the pattern is a repetitive pattern having a period at a resolution limit or less.
    Type: Grant
    Filed: February 11, 2014
    Date of Patent: December 19, 2017
    Assignee: NuFlare Technology, Inc.
    Inventors: Riki Ogawa, Masatoshi Hirono
  • Patent number: 9833214
    Abstract: A radiographic image capturing device includes: plural radiation dose detection pixels that respectively output signal values according to a dose of irradiated radiation; a determination unit that determines a presence or absence of defects, block-by-block, based on signal values of radiation dose detection pixels included in each of plural blocks, which are arranged such that the respective blocks include at least a portion of the plural radiation dose detection pixels; a block rearrangement unit that performs block rearrangement to change the arrangement of the plural blocks according to a determination result of the determination unit; and a detection unit that detects a dose of irradiated radiation based on signal values of each arranged block or of each rearranged block.
    Type: Grant
    Filed: February 12, 2015
    Date of Patent: December 5, 2017
    Assignee: FUJIFILM CORPORATION
    Inventor: Ryou Imamura
  • Patent number: 9824647
    Abstract: A controlling method of a display apparatus, which includes pixels each including red, green, blue, and white sub-pixels, includes receiving image data in a unit of frame, analyzing the image data to extract pixel data with respect to a first pixel among the pixels and block data with respect to a first block of a backlight unit, which corresponds to the first pixel, normalizing the pixel data and the block data, comparing the normalized pixel data and the normalized block data, and generating white compensation data corresponding to the white sub-pixel on the basis of the compared result.
    Type: Grant
    Filed: July 10, 2015
    Date of Patent: November 21, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ho-Sik Shin, Junghyun Kim, Yong-hoon Kwon, Hee-kwang Song
  • Patent number: 9816939
    Abstract: Methods and systems for determining one or more characteristics for defects detected on a specimen are provided. One system includes one or more computer subsystems configured for identifying a first defect that was detected on a specimen by an inspection system with a first mode but was not detected with one or more other modes. The computer subsystem(s) are also configured for acquiring, from the storage medium, one or more images generated with the one or more other modes at a location on the specimen corresponding to the first defect. In addition, the computer subsystem(s) are configured for determining one or more characteristics of the acquired one or more images and determining one or more characteristics of the first defect based on the one or more characteristics of the acquired one or more images.
    Type: Grant
    Filed: July 20, 2015
    Date of Patent: November 14, 2017
    Assignee: KLA-Tencor Corp.
    Inventors: Brian Duffy, Saibal Banerjee
  • Patent number: 9811897
    Abstract: The purpose of the present invention is to easily extract, from samples to be observed, defect candidates that can be labeled as a defect or “nuisance” (a part for which a manufacturing tolerance or the like is erroneously detected) and to allow parameters pertaining to observation processing to be easily adjusted. This defect observation method comprises: an imaging step to image, on the basis of defect information from an inspection device, an object to be inspected and obtain a defect image and a reference image corresponding to the defect image; a parameter determining step to determine a first parameter to be used in the defect extraction by using a first feature set distribution acquired from the reference image and the defect image captured in the imaging step and a second feature net distribution acquired from the reference image; and an observing step to observe using the first parameter determined in the parameter determining step.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: November 7, 2017
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Minoru Harada, Yuji Takagi, Ryo Nakagaki, Takehiro Hirai, Hirohiko Kitsuki
  • Patent number: 9778205
    Abstract: Disclosed are methods and apparatus for inspecting a photolithographic reticle. An inspection tool is used to obtain a plurality of patch area images of each patch area of each die of a set of identical dies on a reticle. An integrated intensity value for each patch area image is determined. A gain is applied to the integrated intensity value for each patch area image based on a pattern sparseness metric of such patch area image and its relative value to other patch area images' pattern sparseness metric. A difference between the integrated intensity value of each patch of pairs of the dies, which each pair includes a test die and a reference die, is determined to form a difference intensity map of the reticle. The difference intensity map correlates with a feature characteristic variation that depends on feature edges of the reticle.
    Type: Grant
    Filed: March 20, 2015
    Date of Patent: October 3, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Carl E. Hess, Yanwei Liu, Yalin Xiong
  • Patent number: 9773331
    Abstract: Methods and systems are disclosed for generating a polygon mesh that may have concavities and/or holes. One example of such a method includes generating a polygon grid, adjusting the grid to fit input image dimensions, adjusting the polygons within the grid to fit detected pixels of interest, simplifying the resulting polygon collection to reduce the total number of polygons, and generating a polygon mesh based on the simplified collection of polygons.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: September 26, 2017
    Assignee: DISNEY ENTERPRISES, INC.
    Inventors: Edward Kaminski, Gary Frattarola
  • Patent number: 9759665
    Abstract: A panel inspecting apparatus and method may accurately inspect image quality of a curved portion of a panel with relatively small inspecting cost and time, and the panel inspecting apparatus may have a relatively simple structure. The panel inspecting apparatus includes a support on which a panel is disposed, a mirror corresponding to a curved area of the panel, a lens configured to receive an image from the panel and an image reflected by the mirror and focus the images, and an image sensor configured to capture the images transferred via the lens.
    Type: Grant
    Filed: May 14, 2015
    Date of Patent: September 12, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Myoung-ki Ahn, Jin-woo Ahn, Tae-yong Jo, Hyeong-min Ahn, Tae-hyoung Lee
  • Patent number: 9735064
    Abstract: A method and apparatus for detecting VC defects and determining the exact shorting locations based on charging dynamics induced by scan direction variation are provided. Embodiments include providing a substrate having at least a partially formed device thereon, the partially formed device having at least a word-line, a share contact, and a bit-line; performing a first EBI on the at least partially formed device in a single direction; classifying defects by ADC based on the first EBI inspection; selecting DOI among the classified defects for further review; performing a second EBI on the DOI in a first, second, third, and fourth direction; comparing a result of the first direction against a result of the second direction and/or a result of the third direction against a result of the fourth direction; and determining a shorting location for each DOI based on the one or more comparisons.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: August 15, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ming Lei, Byoung-Gi Min
  • Patent number: 9715723
    Abstract: A method for defect classification includes storing, in a computer system, a definition of a region in a feature space. The definition is associated with a class of defects and comprises a kernel function comprising a parameter. The parameter determines a shape of the region. A confidence threshold for automatic classification of at least one defect associated with the class is received. A value of the parameter associated with the confidence threshold is selected. Inspection data for a plurality of defects detected in one or more samples under inspection is received. The plurality of defects for the class are automatically classified using the kernel function and the selected value of the parameter.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: July 25, 2017
    Assignee: Applied Materials Israel Ltd
    Inventors: Vladimir Shlain, Gadi Greenberg, Idan Kaizerman, Efrat Rozenman
  • Patent number: 9711327
    Abstract: A method, computer product and system for optimization of configurable parameters of inspection tools are provided. The method includes applying a heuristic that utilizes a prioritized sequence of selections of configurable parameters. For each configuration setting of the heuristic the method includes providing a set of local scan images of a list of DOIs, calculating an optimization target function and updating the configuration settings with the best value of each scanned parameter according to said prioritization heuristic. The method includes outputting the one or more updated configuration settings to a recipe file.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: July 18, 2017
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Dan Koronel, Amir Wilde
  • Patent number: 9710322
    Abstract: Systems and methods are provided for mapping dependencies between system components and for analyzing and acting on possible root causes for anomalies experienced by the system components. Aspects of the present disclosure may present for display information associated with the dependency maps and ranked lists of possible root causes of anomalies. Ranking comprises determination of which operating parameters of related system components, when anomalous, will have the greatest effect on the operation of monitored system components. When possible root causes are ranked, notifications may be issued to alert administrators or other systems of the anomaly and the likely root causes.
    Type: Grant
    Filed: August 17, 2015
    Date of Patent: July 18, 2017
    Assignee: Amazon Technologies, Inc.
    Inventors: Gavin R. Jewell, Luke F. Kearney
  • Patent number: 9679372
    Abstract: Disclosed are methods and apparatus for inspecting an extreme ultraviolet (EUV) reticle is disclosed. An inspection tool for detecting electromagnetic waveforms is used to obtain a phase defect map for the EUV reticle before a pattern is formed on the EUV reticle, and the phase defect map identifies a position of each phase defect on the EUV reticle. After the pattern is formed on the EUV reticle, a charged particle tool is used to obtain an image of each reticle portion that is proximate to each position of each phase defect as identified in the phase defect map. The phase defect map and one or images of each reticle portion that is proximate to each position of each phase defect are displayed or stored so as to facilitate analysis of whether to repair or discard the EUV reticle.
    Type: Grant
    Filed: January 7, 2015
    Date of Patent: June 13, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Mehran Nasser-Ghodsi, Stanley E. Stokowski, Mehdi Vaez-Iravani
  • Patent number: 9659359
    Abstract: Methods of and Devices for quality control that can be used with automated optical inspection (AOI), solder paste inspection (SPI), and automated x-ray inspection (AXI) are disclosed. Plurality of threshold settings are entered in a testing process. Multiple testing results are obtained from the testing process. A graphic presentation is generated showing the numerical relationship among the data points, such that a quality control person is able to fine-tune the testing process to have a predetermined ratio of Defect Escaped % to False Call ppm.
    Type: Grant
    Filed: May 5, 2015
    Date of Patent: May 23, 2017
    Assignee: Flextronics AP, LLC
    Inventors: Zhen Feng, Weifeng Liu, David Geiger, Anwar Mohammed, Murad Kurwa
  • Patent number: 9619876
    Abstract: Methods and systems for detecting defects on a wafer are provided. One method includes determining difference values for pixels in first output for a wafer generated using a first optics mode of an inspection system and determining other values for pixels in second output for the wafer generated using a second optics mode of the inspection system. The first and second optics modes are different from each other. The method also includes generating a two-dimensional scatter plot of the difference values and the other values for the pixels in the first and second output corresponding to substantially the same locations on the wafer. The method further includes detecting defects on the wafer based on the two-dimensional scatter plot.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: April 11, 2017
    Assignee: KLA-Tencor Corp.
    Inventors: Junqing Huang, Lisheng Gao
  • Patent number: 9607233
    Abstract: A method for classification includes receiving inspection data associated with a plurality of defects found in one or more samples and receiving one or more benchmark classification comprising a class for each of the plurality of defects. A readiness criterion for one or more of the classes is evaluated based on the one or more benchmark classification results, wherein the readiness criterion comprises for each class, a suitability of the inspection data for training an automatic defect classifier for the class. A portion of the inspection data is selected corresponding to one or more defects associated with one or more classes that satisfy the readiness criterion. One or more automatic classifiers are trained for the one or more classes that satisfy the readiness criterion using the selected portion of the inspection data.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: March 28, 2017
    Assignee: Applied Materials Israel Ltd.
    Inventors: Idan Kaizerman, Vladimir Shlain, Efrat Rozenman
  • Patent number: 9569834
    Abstract: Methods and devices are disclosed for automated detection of a status of wafer fabrication process based on images. The methods advantageously use segment masks to enhance the signal-to-noise ratio of the images. Metrics are then calculated for the segment mask variations in order to determine one or more combinations of segment masks and metrics that are predictive of a process non-compliance. A model can be generated as a result of the process. In another embodiment, a method uses a model to monitor a process for compliance.
    Type: Grant
    Filed: June 22, 2015
    Date of Patent: February 14, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Himanshu Vajaria, Shabnam Ghadar, Tommaso Torelli, Bradley Ries, Mohan Mahadevan, Stilian Pandev
  • Patent number: 9560372
    Abstract: An appropriate motion vector to assign to a pixel in a digital video frame is performed by a comparison of motion vectors of particular surrounding pixels. Direction of at least one of color transition or color brightness transition in the digital video frame is detected to detect direction of object boundaries in the digital video frame. The particular surrounding pixels are selected and grouped (filtered) according to the detected object boundary direction at each pixel. A comparison of the motion vectors of the surrounding pixels then provides information on which group of pixels to assign a current pixel being processed based in part on how close the motion vectors of the surrounding groups match a group pixels to which the pixel being processed belongs.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: January 31, 2017
    Assignee: STMICROELECTRONICS, INC.
    Inventor: Anatoliy Vasilevich Tsyrganovich
  • Patent number: 9546862
    Abstract: Systems and methods for improving results of wafer higher order shape (HOS) characterization and wafer classification are disclosed. The systems and methods in accordance with the present disclosure are based on localized shapes. A wafer map is partitioned into a plurality of measurement sites to improve the completeness of wafer shape representation. Various site based HOS metric values may be calculated for wafer characterization and/or classification purposes, and may also be utilized as control input for a downstream application. In addition, polar grid partitioning schemes are provided. Such polar grid partitioning schemes may be utilized to partition a wafer surface into measurement sites having uniform site areas while providing good wafer edge region coverage.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: January 17, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Haiguang Chen, Jaydeep Sinha, Sergey Kamensky, Sathish Veeraraghavan, Pradeep Vukkadala
  • Patent number: 9536170
    Abstract: An error of an outline point due to a brightness fluctuation cannot be corrected by a simple method such as a method of adding a certain amount of offset. However, in recent years as the miniaturization of the pattern represented by a resist pattern has progressed, it has been difficult to appropriately determine a region that serves as a reference. An outline of the resist pattern is extracted from an image of the resist pattern obtained by a charged particle beam apparatus in consideration of influence of the brightness fluctuation. That is, a plurality of brightness profiles in the vicinity of edge points configuring the outline are obtained and an evaluation value of a shape of the brightness profile in the vicinity of a specific edge is obtained based on the plurality of brightness profiles, and the outline of a specific edge point is corrected, based on the evaluation value.
    Type: Grant
    Filed: May 27, 2013
    Date of Patent: January 3, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeyoshi Ohashi, Junichi Tanaka, Yutaka Hojo, Hiroyuki Shindo, Hiroki Kawada
  • Patent number: 9535002
    Abstract: A device for inspecting a material with a coated surface includes a light source disposed above the surface that emits light in a wavelength range, at least one first camera for determining a color value of detected light reflected from the surface and an evaluation device. The first camera is disposed above a line located on the surface and configured with a first aperture to detect light from a first point of the line at a first viewing angle and light from a second point separate from the first point at a second viewing angle. The first camera determines a first color value of the first point light and a second color value of the second point light and the evaluation device compares the first and second color values or a color value difference with a predefined color setpoint value or predefined color setpoint value range.
    Type: Grant
    Filed: January 19, 2015
    Date of Patent: January 3, 2017
    Assignee: ISRA SURFACE VISION GMBH
    Inventor: Rolf Kubiak
  • Patent number: 9489593
    Abstract: An information processing apparatus, for training a classifier that classifies local regions of an object, includes a feature amount setting unit, a selection unit, and a training unit. The feature amount setting unit sets a feature amount to be used by the classifier. The selection unit selects a local region of the object based on a predetermined selection condition based on positions for obtaining the feature amount set by the feature amount setting unit. The training unit trains the classifier using the feature amount set by the feature amount setting unit and the local region selected by the selection unit.
    Type: Grant
    Filed: March 18, 2014
    Date of Patent: November 8, 2016
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takayuki Saruta, Yusuke Mitarai, Hiroto Yoshii, Masakazu Matsugu
  • Patent number: 9489599
    Abstract: Methods and systems for decision tree construction for automatic classification of defects on semiconductor wafers are provided. One method includes creating a decision tree for classification of defects detected on a wafer by altering one or more floating trees in the decision tree. The one or more floating trees are sub-trees that are manipulated as individual units. In addition, the method includes classifying the defects detected on the wafer by applying the decision tree to the defects.
    Type: Grant
    Filed: April 21, 2014
    Date of Patent: November 8, 2016
    Assignee: KLA-Tencor Corp.
    Inventors: Chien-Huei (Adam) Chen, Chris Maher, Patrick Huet, Tai-Kam Ng, John Raymond Jordan, III
  • Patent number: 9466539
    Abstract: Systems and methods for automated inspection of fillet formation along on or more peripheral edges (13a) of a packaged microelectronic device (14) that is attached to a supporting substrate (16), such system (10) including a feedback loop for controlling fillet formation. More specifically, the system (10) includes a dispensing system (18) configured for dispensing underfill material (22) onto the supporting substrate (16). The system (19) further includes an automated optical inspection (AOI) system (19) configured for determining a value of a measurable attribute of the fillet (12), such as whether the fillet (12) is properly dimensioned, i.e., sized and shaped. A feedback loop (66) is included between the dispensing system (18) and automated optical inspection system (19).
    Type: Grant
    Filed: August 19, 2015
    Date of Patent: October 11, 2016
    Assignee: Nordson Corporation
    Inventors: Alec Babiarz, Stephane Etienne, Owen Yikon Sit
  • Patent number: 9448758
    Abstract: A method for displaying location specific maintenance history for an object is implemented by operating a camera to locate at least one marker tag with fiducial marker-based tracking functionality on the object to provide a reference to a coordinate system associated with the object. An area of the object surrounding the coordinates defined by marker tag is determined from the coordinate system. A repair history for the determined area is then projected onto the object with the projection referenced to the coordinate system associated with the object.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: September 20, 2016
    Assignee: The Boeing Company
    Inventors: Nathanael T. Georgeson, Gary E. Georgeson, James J. Troy
  • Patent number: 9436988
    Abstract: A method of classifying the defects on a wafer having some same chips and corresponding system is provided. After receiving images formed by scanning the wafer using a charged particle beam, these images are examined such that both defective images and defect-free images are found. Then, the defect-free images are translated into a simulated layout of the chip, or a database is used to provide the simulated layout of the chip. Finally, the defects on the defective images are classified by comparing the images with the simulated layout of the chip. The system has some modules separately corresponds to the steps of the method.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: September 6, 2016
    Assignee: HERMES-MICROVISION, INC.
    Inventors: Wei Fang, Zhaoli Zhang, Jack Jau
  • Patent number: 9431348
    Abstract: A marker which is a reference of a coordinate position defining a region of a chip that is manufactured in a semiconductor substrate is formed. A crystal defect on the semiconductor substrate is detected. The coordinate position of the detected crystal defect is detected on the basis of the marker. Therefore, it is possible to detect the position of a semiconductor chip including the crystal defect among the semiconductor chips manufactured on the semiconductor substrate. As a result, it is possible to easily detect the position of the semiconductor device including the position of the crystal defect on the semiconductor substrate.
    Type: Grant
    Filed: October 3, 2014
    Date of Patent: August 30, 2016
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Atsushi Tanaka, Takashi Tsuji
  • Patent number: 9429527
    Abstract: An automatic optical inspection method for periodic patterns includes defining regular control points in a periodic pattern, forming aligned images surrounded by the control points, obtaining a median image and a deviation image from the aligned images and defining upper- and lower-limit images to form an adaptive model, using the adaptive model to compare each point of all aligned images, defining the point of the aligned image having a gray-scale pixel greater than the upper-limit image or the smaller than the lower-limit image as a defect area. The optical inspection method is applicable for the defect detection of various periodic patterns and users simply need to manually select a first reference point to a fifth reference point from the control points and further select a rectangular range of one of the control points to create an edge image to detect a defect of the periodic pattern.
    Type: Grant
    Filed: July 1, 2015
    Date of Patent: August 30, 2016
    Assignee: Ming Chuan University
    Inventor: Mao-Hsiung Hung
  • Patent number: 9418199
    Abstract: The present disclosure provides a method of systematic defect extraction. Primary and secondary areas are defined in a wafer layout. A plurality of defects is identified by a first wafer inspection for an outside-process-window wafer. Defects located in the secondary area are removed. Defects associated with non-critical semiconductor features are also removed via a grouping process. Sensitive regions are defined around defects associated with critical semiconductor features. A second inspection is then performed on the sensitive regions for an inside-process-window wafer, thereby identifying a plurality of potentially systematic defects. Thereafter, a Scanning Electron Microscopy (SEM) process is performed to determine whether the defects in the sensitive regions of the inside-process-window wafer are true systematic defects.
    Type: Grant
    Filed: March 17, 2015
    Date of Patent: August 16, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jia-Rui Hu, Chih-Ming Ke, Hua-Tai Lin, Kai-Hsiung Chen, Tsai-Sheng Gau
  • Patent number: 9418413
    Abstract: A system, a non-transitory computer program product and a method for selecting an inspection recipe, the method includes: (i) obtaining an image of a structural element of the semiconductor device; (ii) calculating multiple types of distances between the image of the structural element and each of a plurality of reference images obtained by applying a plurality of inspection recipes; and (iii) automatically selecting at least one selected inspection recipe out of the plurality of inspection recipes based on values of the multiple types of distances.
    Type: Grant
    Filed: July 5, 2010
    Date of Patent: August 16, 2016
    Assignee: CAMTEK LTD.
    Inventors: Shimon Koren, Or Shur
  • Patent number: 9337071
    Abstract: An automated defect inspection system has been invented and is used on patterned wafers, whole wafers, broken wafers, partial wafers, sawn wafers such as on film frames, JEDEC trays, Auer boats, die in gel or waffle packs, MCMs, etc., and is specifically intended and designed for second optical wafer inspection for such defects as metalization defects (such as scratches, voids, corrosion, and bridging), diffusion defects, passivation layer defects, scribing defects, glassivation defects, chips and cracks from sawing, solder bump defects, and bond pad area defects.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: May 10, 2016
    Assignee: Rudolph Technologies, Inc.
    Inventors: Jeffrey L. O'Dell, Thomas Verburgt, Mark Harless, Cory Watkins
  • Patent number: 9317914
    Abstract: An image inspecting device includes: an image inspection unit that inspects a read image obtained by reading an image formed by an image formation output, based on threshold information including one or more types of thresholds and a difference image indicating a difference between the read image and a reference image generated based on print data of the image formation output, and specifies a defect portion; and a screen information generation unit that generates and outputs threshold adjustment screen information including a threshold image indicating each value of the thresholds and an inspection result image indicating an inspection result of the defect portion, and threshold adjustment result screen information including a threshold image and a reinspection result image using adjusted threshold information; and a threshold information changing unit that changes the threshold information to the adjusted threshold information based on a threshold information change input.
    Type: Grant
    Filed: March 17, 2015
    Date of Patent: April 19, 2016
    Assignee: RICOH COMPANY, LIMITED
    Inventor: Tadashi Kitai
  • Patent number: 9286675
    Abstract: A method for classifying defects of a wafer, the method is executed by a computerized system, the method may include obtaining defect candidate information about a group of defect candidates, wherein the defect candidate information comprises values of attributes per each defect candidate of the group; selecting, by a processor of the computerized system, a selected sub-group of defect candidates in response to values of attributes of defect candidates that belong to at least the selected sub-group; classifying defect candidates of the selected sub-group to provide selected sub-group classification results; repeating, until fulfilling a stop condition: selecting an additional selected sub-group of defect candidates in response to (a) values of attributes of defect candidates that belong to at least the additional selected sub-group; and (b) classification results obtained from classifying at least one other selected sub-group; and classifying defect candidates of the additional selected sub-group to provide a
    Type: Grant
    Filed: October 23, 2014
    Date of Patent: March 15, 2016
    Assignee: Applied Materials Israel Ltd.
    Inventors: Saar Shabtay, Idan Kaizerman, Amir Wachs
  • Patent number: 9268325
    Abstract: According to one embodiment, a manufacturing process monitoring system for monitoring anomaly in a manufacturing process for products, the system includes an information storage section, a selection information section, a reference space formation section and a monitoring section. The information storage section is configured to store previously collected data. The selection information section is configured to create information used in classifying the data stored in the information storage section. The reference space formation section is configured to form a reference space based on data subjected to anomaly monitoring acquired in the manufacturing process and the data classified by the information from the data stored in the information storage section. The monitoring section is configured to monitor anomaly of the data subjected to anomaly monitoring based on the reference space.
    Type: Grant
    Filed: August 11, 2011
    Date of Patent: February 23, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tomomi Ino
  • Patent number: 9257367
    Abstract: According to one embodiment, a method for producing a mask layout of an exposure mask for forming wiring of an integrated circuit device, includes estimating shape of the wiring formed based on an edge of a pattern included in an initial layout of the exposure mask. The method includes modifying shape of the edge if the estimated shape of the wiring does not satisfy a requirement.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: February 9, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Motohiro Okada, Shuhei Sota, Takaki Hashimoto, Yasunobu Kai, Kazuyuki Masukawa, Yuko Kono, Chikaaki Kodama, Taiga Uno, Hiromitsu Mashita
  • Patent number: 9256912
    Abstract: In order to measure a measurement target on a PCB, height information of the PCB is acquired by using a first image photographed by illuminating a grating pattern light onto the PCB. Then, a first area protruding on the PCB by greater than a reference height is determined as the measurement target by using the height information. Thereafter, color information of the PCB is acquired by using a second image photographed by illuminating light onto the PCB. Then, the first color information of the first area determined as the measurement target out of the color information of the PCB is set as reference color information. Thereafter, the reference color information is compared with color information of an area except for the first area to judge whether the measurement target is formed in the area except for the first area. Thus, the measurement target may be accurately measured.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: February 9, 2016
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Joong-Ki Jeong, Min-Young Kim, Hee-Wook You
  • Patent number: 9239341
    Abstract: A wiring fault detection method according to an embodiment of the present invention is capable of determining that, in a case where a temperature rise value of a faulty portion exceeds a temperature rise threshold within a preset threshold of the number of frames, a corresponding pixel has a fault. A wiring fault detection apparatus according to the present invention includes a temperature measurement imaging unit that measure a temperature of a semiconductor substrate and forms an image thereof.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: January 19, 2016
    Assignee: SHARP KABUSHIKI KAISHA
    Inventor: Yuji Karita
  • Patent number: 9224357
    Abstract: An apparatus and method for compensating an image of a display device are disclosed. The image compensation apparatus of a display device comprises a scatterometer configured to analyze luminance of a display image according to a test initialization voltage and a test data voltage applied to a plurality of pixels and to measure a deviation of a threshold voltage of a driving transistor of the plurality of pixels; a voltage controller configured to divide the display panel into a predetermined area according to a deviation of a threshold voltage of the driving transistor and to calculate different initialization voltages that initialize driving of pixels included in the area on a predetermined area basis; and an initialization voltage supplier configured to apply a corresponding initialization voltage calculated in the voltage controller to the plurality of pixels included in the predetermined area.
    Type: Grant
    Filed: November 9, 2012
    Date of Patent: December 29, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Min-Cheol Kim, In-Hwan Kim, Byung-Geun Jun
  • Patent number: 9218667
    Abstract: A method for capturing three-dimensional photographic lighting of a spherical lighting device is described. Calculation of boundaries of the spherical lighting device based on lighting properties of at least one light source in a set location of the spherical lighting device is performed. A mapping of multitude points of the spherical lighting device to three-dimensional vectors of at least one camera device using a logical grid is performed. A measurement of brightness of the logical grid of the spherical lighting device is performed. The method further comprises determining brightest grid point of the logical grid of the spherical lighting device, wherein the brightest grid point of the logical grid is measured within a region brightness of the spherical lighting device. The method further comprises calculating the region of brightness of the spherical lighting device based on the determined brightest grid point of the logical grid.
    Type: Grant
    Filed: November 25, 2013
    Date of Patent: December 22, 2015
    Assignee: International Business Machines Corporation
    Inventors: John F. Kelley, Douglas E. Lhotka, Kristin S. Moore, Todd P. Seager
  • Patent number: 9208394
    Abstract: The present application concerns the visual identification of materials or documents for tracking or authentication purposes. It describes methods to automatically authenticate an object by comparing some object images with reference images, the object images being characterized by the fact that visual elements used for comparison are non-disturbing for the naked eye. In some described approaches it provides the operator with visible features to locate the area to be imaged. It also proposes ways for real-time implementation enabling user friendly detection using mobile devices like smart phones.
    Type: Grant
    Filed: May 14, 2012
    Date of Patent: December 8, 2015
    Assignee: ALPVISION S.A.
    Inventors: Celine Di Venuto Dayer, Martin Kutter, Frederic Jordan
  • Patent number: 9196033
    Abstract: An inspection sensitivity evaluation method includes generating a reference design image where plural figure patterns are arranged, based on reference design data, generating plural position shift design images whose positional deviation amounts are mutually different such that positions of the plural figure patterns in the reference design image are uniformly shifted, acquiring an optical image of a photo mask fabricated based on the reference design data where there is no positional deviation from the plural figure patterns, calculating a first positional deviation amount between the reference design image and the optical image, calculating plural second positional deviation amounts each of which is a respective positional deviation amount between a corresponding position shift design image of the plural position shift design images and the optical image, and acquiring a detectable positional deviation amount by using the first and the plural second positional deviation amounts.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: November 24, 2015
    Assignee: NuFlare Technology, Inc.
    Inventors: Hideaki Hashimoto, Nobutaka Kikuiri
  • Patent number: 9189844
    Abstract: Methods and systems for detecting defects on a wafer using defect-specific information are provided. One method includes acquiring information for a target on a wafer. The target includes a pattern of interest formed on the wafer and a known DOI occurring proximate to or in the pattern of interest. The information includes an image of the target on the wafer. The method also includes searching for target candidates on the wafer or another wafer. The target candidates include the pattern of interest. The target and target candidate locations are provided to defect detection. In addition, the method includes detecting the known DOI in the target candidates by identifying potential DOI locations in images of the target candidates and applying one or more detection parameters to images of the potential DOI locations.
    Type: Grant
    Filed: October 15, 2012
    Date of Patent: November 17, 2015
    Assignee: KLA-Tencor Corp.
    Inventors: Kenong Wu, Meng-Che Wu, Lisheng Gao