Fault Or Defect Detection Patents (Class 382/149)
  • Patent number: 11017523
    Abstract: An inspection device for inspecting an inspection object portion in a PTP sheet, the inspection device including: an irradiator that irradiates the inspection object portion with light; an imaging device that takes an image of the inspection object portion irradiated with the light; a processor that detects a defect in the inspection object portion from the taken image by using a predetermined luminance threshold value, and determines whether the inspection object portion is non-defective; a verification image generating circuit that generates a verification image in which a virtual defective image is placed in a non-defective image; and a threshold value verifier that causes the processor to determine whether the inspection object portion is non-defective or defective by using the verification image, in place of the image taken by the imaging device, and to verify the luminance threshold value based on a determination result by the processor.
    Type: Grant
    Filed: May 24, 2019
    Date of Patent: May 25, 2021
    Assignee: CKD CORPORATION
    Inventors: Yukihiro Taguchi, Takamasa Ohtani
  • Patent number: 10984521
    Abstract: In one embodiment, a method includes receiving, by a defect detector module, an image of a physical object and classifying, by the defect detector module, one or more first features from the image of the physical object into one or more first classifications using one or more machine learning algorithms. The method further includes analyzing, by the defect detector module, the one or more first classifications and determining, by the defect detector module, that the physical object comprises a defect based on analyzing the one or more first classifications.
    Type: Grant
    Filed: November 20, 2018
    Date of Patent: April 20, 2021
    Assignee: BNSF Railway Company
    Inventors: Rachel Kohler, Darrell R. Krueger, Kevin Lawhon, Garrett Smitley
  • Patent number: 10976656
    Abstract: A defect inspection device includes an image sensor configured to obtain an image of a target region of an object and divide the image of the target region into an array of pixels, and a processor. The processor is configured to receive a signal indicating a value of a property of the divided image, select a first pixel in the divided image, determine the value of the property of the first pixel, determine a reference pixel value for the first pixel, compare the reference pixel value to the value of the first pixel to obtain a difference value, and set a threshold difference at which a defect is assessed to be present, based at least in part on the value of the property of second pixels in the divided image adjacent to the first pixel.
    Type: Grant
    Filed: August 31, 2018
    Date of Patent: April 13, 2021
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Ryoji Yoshikawa
  • Patent number: 10964004
    Abstract: The present invention is an automated optical inspection method using deep learning, comprising the steps of: providing a plurality of paired image combinations, wherein each said paired image combination includes at least one defect-free image and at least one defect-containing image corresponding to the defect-free image; providing a convolutional neural network to start a training mode of the convolutional neural network; inputting the plurality of paired image combinations into the convolutional neural network, and adjusting a weight of at least one fully connected layer of the convolutional neural network through backpropagation to complete the training mode of the convolutional neural network; and performing an optical inspection process using the trained convolutional neural network.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: March 30, 2021
    Assignee: UTECHZONE CO., LTD.
    Inventors: Chih-Heng Fang, Chia-Liang Lu, Ming-Tang Hsu, Arulmurugan Ambikapathi, Chien-Chung Lin
  • Patent number: 10957032
    Abstract: Scheduling automated visual inspection tasks includes capturing an image of a component to be inspected. A visual inspection model is formed with a model engine as a composite model of utility modules and functional modules to perform visual inspection of the image of the component. An abstract processing workflow of the visual inspection model is derived with a scheduler including dependencies between the utility modules and the functional modules. Performance of each of the functional modules is profiled with the scheduler by testing performance with available hardware resources to produce a performance profile. Parallel instances of each of the functional modules in a branch of the abstract processing workflow are scheduled with the scheduler according to the dependencies and the performance profiles. An indication of defects in the component is produced by processing the visual inspection model according to the scheduled functional modules.
    Type: Grant
    Filed: November 9, 2018
    Date of Patent: March 23, 2021
    Assignee: International Business Machines Corporation
    Inventors: Guo Qiang Hu, Jun Zhu, Peng Ji, Bo Wen Wei, Zhe Yan, Lei He
  • Patent number: 10948285
    Abstract: A method and system for inspecting an object is provided. The system includes a measurement device that measures 3D coordinates of points on a surface of the object. A display is coupled to the device and is sized to be carried by an operator. One or more processors cooperate with the measurement device, to perform a method comprising: determining 3D coordinates of the points while the object is being measured; aligning an electronic model of the object to the points while the object is being measured; determining a variance between the electronic model and the points while the object is being measured; and displaying on the display an indicator when the variance exceeds a threshold while the object is being measured.
    Type: Grant
    Filed: July 17, 2018
    Date of Patent: March 16, 2021
    Assignee: FARO TECHNOLOGIES, INC.
    Inventors: Reinhard Becker, Gerrit Hillebrand, Martin Ossig
  • Patent number: 10943838
    Abstract: A method and system for measuring overlay in a semiconductor manufacturing process comprise capturing an image of a feature in an article at a predetermined manufacturing stage, deriving a quantity of an image parameter from the image and converting the quantity into an overlay measurement. The conversion is by reference to an image parameter quantity derived from a reference image of a feature at the same predetermined manufacturing stage with known overlay (“OVL”). There is also disclosed a method of determining a device inspection recipe for use by an inspection tool comprising identifying device patterns as candidate device care areas that may be sensitive to OVL, deriving an OVL response for each identified pattern, correlating the OVL response with measured OVL, and selecting some or all of the device patterns as device care areas based on the correlation.
    Type: Grant
    Filed: June 24, 2018
    Date of Patent: March 9, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: Choon Hoong Hoo, Fangren Ji, Amnon Manassen, Liran Yerushalmi, Antonio Mani, Allen Park, Stilian Pandev, Andrei Shchegrov, Jon Madsen
  • Patent number: 10943762
    Abstract: An inspection system is provided that includes a microscope that scans a sample with a beam that is an incident electron beam, and an image processing device that controls the microscope.
    Type: Grant
    Filed: January 30, 2019
    Date of Patent: March 9, 2021
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Takeyoshi Ohashi, Masami Ikota
  • Patent number: 10923317
    Abstract: Methods and systems for detecting defects in a logic region on a wafer are provided. One method includes acquiring information for different types of design-based care areas in a logic region of a wafer. The method also includes designating the different types of the design-based care areas as different types of sub-regions and, for a localized area within the logic region, assigning two or more instances of the sub-regions located in the localized area to a super-region. In addition, the method includes generating one scatter plot for all of the two or more instances of the sub-regions assigned to the super-region. The one scatter plot is generated with different segmentation values for the output corresponding to the different types of the sub-regions. The method further includes detecting defects in the sub-regions based on the one scatter plot.
    Type: Grant
    Filed: August 18, 2019
    Date of Patent: February 16, 2021
    Assignee: KLA Corp.
    Inventors: Junqing Huang, Paul Russell, Hucheng Lee, Kenong Wu
  • Patent number: 10902582
    Abstract: A method, system and computer readable medium for providing information about a region of a sample. The method includes (i) obtaining, by an imager, multiple images of the region; wherein the multiple images differ from each other by at least one parameter (ii) receiving or generating multiple reference images; (iii) generating multiple difference images that represent differences between the multiple images and the multiple reference images; (iv) calculating a set of region pixel attributes, (v) calculating a set of noise attributes, based on multiple sets of region pixels attributes of the multiple region pixels; and (vi) determining for each region pixel, whether the region pixel represents a defect based on a relationship between the set of noise attributes and the set of region pixel attributes of the pixel.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: January 26, 2021
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Haim Feldman, Eyal Neistein, Harel Ilan, Shahar Arad, Ido Almog
  • Patent number: 10902620
    Abstract: An apparatus, method and non-transitory computer readable storage medium for registering between an image and a description of a multi-layer object, the apparatus comprising: a memory for storing an image of the object and at least part of the description, the part comprising a first description of a first layer and a second description of a second layer of the object; and a processor operatively connected to the memory for: matching the first description to a first part of the image, the first part informative of a part of the first layer, thereby determining a first matching offset; matching the second description to a second part of the image, the second part informative of a part of the second layer, thereby determining a second matching offset; and registering between the image and the description of the multi-layer object based on the at least on the first and second matching offsets.
    Type: Grant
    Filed: April 18, 2019
    Date of Patent: January 26, 2021
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Shaul Cohen, Amit Batikoff, Lavi Jacov Shachar
  • Patent number: 10902579
    Abstract: Defects of interest can be captured by a classifier. Images of a semiconductor wafer can be received at a deep learning classification module. These images can be sorted into soft decisions with the deep learning classification module. A class of the defect of interest for an image can be determined from the soft decisions. The deep learning classification module can be in electronic communication with an optical inspection system or other types of semiconductor inspection systems.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: January 26, 2021
    Assignee: KLA-Tencor Corporation
    Inventors: Erfan Soltanmohammadi, Martin Plihal, Tai-Kam Ng, Sang Hyun Lee
  • Patent number: 10902576
    Abstract: A method of providing a semiconductor device and a computer-readable medium having instructions for performing the method are disclosed. The method includes receiving a first wafer defect map that defines comparison regions and identifies visual defect locations for a wafer. A format of the comparison regions is determined, with the format chosen from a group including die-to-die, partial-shot-to-partial-shot and full-shot-to-full-shot. If the comparison format is not die-to-die, mapping information is received that provides die locations within the comparison regions. A wafer layout map is provided that identifies die locations within the wafer.
    Type: Grant
    Filed: April 26, 2017
    Date of Patent: January 26, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Eric Robert Trumbauer, Brant William Paquette, Vince Christian Samek, Michael Jay Jenson, David Matthew Curran, Jon Evan Button, Charles David Gordon
  • Patent number: 10853937
    Abstract: A false alarm reduction system is provided that includes a processor cropping each input image at randomly chosen positions to form cropped images of a same size at different scales in different contexts. The system further includes a CONDA-GMM, having a first and a second conditional deep autoencoder for respectively (i) taking each cropped image without a respective center block as input for measuring a discrepancy between a reconstructed and a target center block, and (ii) taking an entirety of cropped images with the target center block. The CONDA-GMM constructs density estimates based on reconstruction error features and low-dimensional embedding representations derived from image encodings. The processor determines an anomaly existence based on a prediction of a likelihood of the anomaly existing in a framework of a CGMM, given the context being a representation of the cropped image with the center block removed and having a discrepancy above a threshold.
    Type: Grant
    Filed: January 16, 2019
    Date of Patent: December 1, 2020
    Assignee: NEC CORPORATION
    Inventors: Alexandru Niculescu-Mizil, Renqiang Min, Eric Cosatto, Farley Lai, Hans Peter Graf, Xavier Fontaine
  • Patent number: 10854486
    Abstract: A system for defect detection and analysis is provided. The system may include an inspection sub-system and a controller including a memory and one or more processors. The inspection sub-system may include an illumination source and one or more detectors configured to acquire control patch images of defects of a control specimen along one or more detector channels. The one or more processors may be configured to train a defect classifier using the control patch images and known parameters associated with the defects of the control specimen. The inspection sub-system may be further configured to acquire patch images of identified defects on an additional specimen. The one or more processors may be configured to determine parameters of the identified defects using the defect classifier.
    Type: Grant
    Filed: November 13, 2018
    Date of Patent: December 1, 2020
    Assignee: KLA Corporation
    Inventors: Jason Kirkwood, Jan Lauber
  • Patent number: 10825650
    Abstract: This disclosure is directed to solutions of detecting and classifying wafer defects using machine learning techniques. The solutions take only one coarse resolution digital microscope image of a target wafer, and use machine learning techniques to process the coarse SEM image to review and classify a defect on the target wafer. Because only one coarse SEM image of the wafer is needed, the defect review and classification throughput and efficiency are improved. Further, the techniques are not distractive and may be integrated with other defect detecting and classification techniques.
    Type: Grant
    Filed: June 3, 2019
    Date of Patent: November 3, 2020
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Pin Chou, Sheng-Wen Huang, Jun-Xiu Liu
  • Patent number: 10809635
    Abstract: A defect inspection method and a defect inspection system are provided. In the method, a plurality of candidate defect images are retrieved from inspection images obtained by at least one optical inspection tool performing hot scans on at least one wafer and a plurality of attributes are extracted from the inspection images. A random forest classifier including a plurality of decision trees for classifying the candidate defect images is created, wherein the decision trees are built with different subset of the attributes and the candidate defect images. A plurality of candidate defect images are retrieved from the optical inspection tool in runtime and applied to the decision trees, and classified into nuisance images and real defect images according to votes of the decision trees in which the nuisance images are filtered out. The real defect images with the votes over a confidence value are sampled for microscopic review.
    Type: Grant
    Filed: March 29, 2018
    Date of Patent: October 20, 2020
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Huei Chen, Hung-Yi Chung, Chao-Ting Hong, Cheng-Kuang Lee, Xiaomeng Chen, Teng-Cheng Hsu
  • Patent number: 10803576
    Abstract: An imaging portion acquires first sample-images of a first sample under optical-conditions, the first sample having a defect, and acquires second sample-images of a second sample under optical-conditions, the second sample having no defects. An arithmetic portion calculates a first difference between a first sample-image taken under a first optical-condition and the first sample-image taken under a second optical-condition, calculates a second difference between a second sample-image taken under the first optical-condition and a second sample-image taken under the second optical-condition, and selects the first and second optical-conditions under which a difference between the first and second differences becomes largest, as a first and a second inspection-condition. The imaging portion takes images of the target to be inspected under the first and second inspection-conditions to acquire a first and second inspection-images.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: October 13, 2020
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventors: Kenji Wakisaka, Osamu Nagano
  • Patent number: 10801968
    Abstract: Based on job dumps for defects of interest and nuisance events for multiple optical modes, detection algorithms, and attributes, the best combination of the aforementioned is identified. Combinations of each of the modes with each of the detection algorithms can be compared for all the defects of interest detected at an offset of zero. Capture rate versus nuisance rate can be determined for one of the attributes in each of the combinations.
    Type: Grant
    Filed: April 19, 2019
    Date of Patent: October 13, 2020
    Assignee: KLA-Tencor Corporation
    Inventor: Bjorn Brauer
  • Patent number: 10802396
    Abstract: A method of detecting defects of a photoresist pattern includes generating a scanning electron microscope (SEM) image of a surface of a photoresist pattern and signal intensity data relative to pixel position of the surface of the photoresist pattern. The method also includes setting a lower reference intensity threshold value and an upper reference intensity threshold value used as reference values for detecting defects. The method further includes classifying a pixel position of the signal intensity data having a signal intensity value which is less than the lower reference intensity threshold value or greater than the upper reference intensity threshold value as a defect position.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: October 13, 2020
    Assignee: SK hynix Inc.
    Inventor: Jun Taek Park
  • Patent number: 10803575
    Abstract: There is provided a system that includes a review tool configured to review at least part of potential defects of an examined object, and assign each of the at least part of the potential defects with a multiplicity of attribute values. The system also includes a computer-based classifier configured to classify, based on the attribute values as assigned, the at least part of potential defects into a set of classes, the set comprising at least a first major class, a second major class and a first minor class, the classifier trained based on a training set comprising a multiplicity of training defects with assigned attribute values, the training defects classified into the set of classes.
    Type: Grant
    Filed: July 22, 2019
    Date of Patent: October 13, 2020
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Ohad Shaubi, Assaf Asbag, Idan Kaizerman
  • Patent number: 10782254
    Abstract: In a method of detecting a defect, a region of a substrate may be primarily scanned using a first electron beam to detect a first defect. A remaining region of the substrate, which may be defined by excluding a portion in which the first defect may be positioned from the region of the substrate, may be secondarily scanned using a second electron beam to detect a second defect. Thus, the portion with the defect may not be scanned in a following scan process so that a scanning time may be remarkably decreased.
    Type: Grant
    Filed: July 19, 2018
    Date of Patent: September 22, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyon-Seok Song, In-Yong Kang, Jong-Ju Park
  • Patent number: 10776911
    Abstract: An information processing apparatus may include: a storage unit configured to store a plurality of classifiers that identify an object, the classifiers having different characteristics; a measurement unit configured to measure identification accuracy and execution time of each of the plurality of classifiers for a specific object; an output unit configured to output the identification accuracy and the execution time of each of the plurality of classifiers; a selection unit configured to select, from the classifiers whose identification accuracy measured by the measurement unit meets a first condition, a classifier whose execution time meets a second condition; and a setting unit configured to perform setting to cause the selected classifier to operate on an identification apparatus.
    Type: Grant
    Filed: October 17, 2018
    Date of Patent: September 15, 2020
    Assignee: OMRON Corporation
    Inventor: Toshinori Tamai
  • Patent number: 10771762
    Abstract: An image processing apparatus includes an acquisition unit that acquires a parallax image generated based on a signal from one of a plurality of photoelectric converters that receive light beams passing through partial pupil regions of an imaging optical system different from each other, and a captured image generated by combining a plurality of signals from the plurality of photoelectric converters. A determination unit determines whether the parallax image contains a defect, and an image processing unit corrects, if the determination unit determines that the parallax image contains the defect, one or more pixel values of the parallax image that include the defect, using the captured image to calculate a correction value for each of the one or more pixels in the parallax image, and replaces the one or more pixels in the parallax image with the corresponding correction value.
    Type: Grant
    Filed: October 4, 2017
    Date of Patent: September 8, 2020
    Assignee: Canon Kabushiki Kaisha
    Inventor: Koichi Fukuda
  • Patent number: 10764505
    Abstract: A projection image pickup device includes a pulsed-light emitter, an optical sensor, a reference timing generator that generates a signal indicating an operation reference timing, a controller, and a signal processor. The signal processor sets a differential signal between an output signal from the optical sensor in the second exposure period and an output signal from the optical sensor in the first exposure period as a first differential signal, sets a differential signal between an output signal from the optical sensor in the third exposure period and the output signal from the optical sensor in the first exposure period as a second differential signal, and outputs the sum total of at least two differential signals including the first differential signal and the second differential signal.
    Type: Grant
    Filed: August 7, 2017
    Date of Patent: September 1, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Masato Takemoto, Shinzo Koyama, Shigeru Saitou, Motonori Ishii, Hiroshi Koshida
  • Patent number: 10719929
    Abstract: The present disclosure relates to the prediction of part and material quality of Additive Manufacturing (AM) processes using layer based images. Described herein are methods and systems for detection of errors in parts built by AM processes such as Selective Laser Melting (SLM). The detection comprises analysis of optical images to identify errors which appear in layers during the AM build process. Errors include but are not limited to warpage of parts and dross formation of overhang surfaces.
    Type: Grant
    Filed: November 16, 2016
    Date of Patent: July 21, 2020
    Assignee: Materialise N.V.
    Inventors: Tom Craeghs, Sven Cornelissen, Tom Cluckers, Jan Van Espen
  • Patent number: 10713534
    Abstract: Methods and systems for training a learning based defect classifier are provided. One method includes training a learning based defect classifier with a training set of defects that includes identified defects of interest (DOIs) and identified nuisances. The DOIs and nuisances in the training set include DOIs and nuisances identified on at least one training wafer and at least one inspection wafer. The at least one training wafer is known to have an abnormally high defectivity and the at least one inspection wafer is expected to have normal defectivity.
    Type: Grant
    Filed: August 22, 2018
    Date of Patent: July 14, 2020
    Assignee: KLA-Tencor Corp.
    Inventor: Bjorn Brauer
  • Patent number: 10713778
    Abstract: A semiconductor defect classification device includes feature extractors that are configured to receive images of semiconductor patterns on a wafer and to extract features of the images from the images, and a classifier that is configured to receive the features of the images and first meta information about the wafer and to use machine learning to classify a defect of the semiconductor patterns associated with the images based on the features of the images and the first meta information.
    Type: Grant
    Filed: July 11, 2018
    Date of Patent: July 14, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Namyeong Kwon, Hyohyeong Kang, Yongdeok Kim
  • Patent number: 10706522
    Abstract: A method includes receiving one or more sets of wafer data, identifying one or more primitives from one or more shapes in one or more layers in the one or more sets of wafer data, classifying each of the one or more primitives as a particular primitive type, identifying one or more primitive characteristics for each of the one or more primitives, generating a primitive database of the one or more primitives, generating one or more rules based on the primitive database, receiving one or more sets of design data, applying the one or more rules to the one or more sets of design data to identify one or more critical areas, and generating one or more wafer inspection recipes including the one or more critical areas for an inspection sub-system.
    Type: Grant
    Filed: December 29, 2016
    Date of Patent: July 7, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Prasanti Uppaluri, Rajesh Manepalli, Ashok V. Kulkarni, Saibal Banerjee, John Kirkland
  • Patent number: 10692206
    Abstract: A crystal analysis apparatus includes a first storage unit storing a crystal lattice image of a crystal region of a sample and a reference crystal lattice image for the crystal region of the sample. A first image processing unit is configured to generate a moiré image from the crystal lattice image and the reference crystal lattice image. A second storage unit stores a predetermined correspondence relationship between a moiré fringe pattern in the moiré image and a crystal defect in the crystal region or a predetermined correspondence relationship between the moiré fringe pattern in the moiré image and a crystal strain in the crystal region. An analysis unit is configured to compare the moiré fringe pattern in the moiré image to predetermined correspondence relationships stored in the second storage unit.
    Type: Grant
    Filed: February 26, 2018
    Date of Patent: June 23, 2020
    Assignees: KABUSHIKI KAISHA TOSHIBA, TOSHIBA ELECTRONIC DEVICES & STORAGE CORPORATION
    Inventor: Masako Kodera
  • Patent number: 10685455
    Abstract: A method for facilitating automated vehicle charging, which can include one or more of: detecting a charge port cover, determining a cover actuation point, opening the cover, locating a charging connector of the vehicle, locating electrical pins of the charging connector, connecting to the vehicle, and/or disconnecting from the vehicle. A system for facilitating automated vehicle charging, which can include one or more connectors, sensors, and/or actuators. The system is preferably configured to perform the method (e.g., in the presence of an electric vehicle).
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: June 16, 2020
    Assignee: Stable Auto Corporation
    Inventors: Shantanu Sinha, James Schiel, Rohan Puri
  • Patent number: 10679336
    Abstract: A detecting apparatus includes an image processor configured to detect a crack in a semiconductor chip through image processing of an input image of the semiconductor chip, and a result outputting unit configured to output results detected by the image processor. The image processor is configured to perform an image gradient analysis on the input image, to convert the input image into a binary image by image binarization, based on a result obtained by performing the image gradient, to fill a closed region in the binary image with the same color as a color representing an outline of a shape appearing in the binary image that forms the closed region, to extract a shape having a predetermined property from the binary image, and to detect the crack by removing a shape connected to an edge portion of the input image. The result outputting unit is configured to output a resulting image in which a crack portion is expressed in the input image.
    Type: Grant
    Filed: August 17, 2018
    Date of Patent: June 9, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Hyun Ho Chu
  • Patent number: 10663407
    Abstract: Data indicative of alignment targets may be received. Each alignment target may be associated with a target location on an object. Locations of the object to be inspected may be identified. An alignment target from the alignment targets may be selected. Each of the locations may be within a determined distance from the selected alignment target. An indication may be provided to align the object relative to an examination tool for inspecting the locations within the determined distance from the selected alignment target.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: May 26, 2020
    Assignee: Applied Materials Israel Ltd.
    Inventors: Idan Kaizerman, Mark Geshel
  • Patent number: 10655271
    Abstract: A method of using an inspection processor includes receiving one or more articles at an inspection station, and identifying, by the inspection processor, one of the articles by reading a unique identifier associated with the one of the articles. The method also includes inspecting the article based on at least one quality criterion, and updating an article tracking system based on the result of the inspection and the identifier.
    Type: Grant
    Filed: November 1, 2019
    Date of Patent: May 19, 2020
    Assignee: CAASTLE, INC.
    Inventors: Ericka Ponte, Kashif Noor, Phillip Hoffman, Andrew Demaray
  • Patent number: 10657672
    Abstract: The provided is an image processing technology to avoid inaccuracy of inferring a position of an object due to wrong model assumption. An image processing device according to an exemplary aspect of the present invention includes: a matching unit 106 that estimates similarity of input image to particle images including areas of particles at relative positions, true positions of the particles in the particle images being given; and a calculation unit 108 that calculates positions of particles in the input image based on the similarity and the true positions.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: May 19, 2020
    Assignee: NEC CORPORATION
    Inventors: Kapik Lee, Takashi Shibata, Atsushi Sato
  • Patent number: 10656994
    Abstract: A method for correcting bit defects in an STT-MRAM memory is disclosed. The method includes reading a codeword in the STT-MRAM memory, wherein the STT-MRAM memory includes a plurality of codewords, wherein each codeword includes a plurality of redundant bits. Further, the method includes mapping defective bits in the codeword to redundant bits of the plurality of redundant bits based on a mapping scheme, wherein the mapping scheme is operable to determine a manner in which the defective bits in the codeword are to be mapped to the redundant bits. Finally, the method includes replacing the defective bits in the codeword with corresponding mapped redundant bits.
    Type: Grant
    Filed: December 27, 2017
    Date of Patent: May 19, 2020
    Assignee: SPIN MEMORY, INC.
    Inventors: Neal Berger, Benjamin Louie, Mourad El-Baraji, Lester Crudele
  • Patent number: 10650517
    Abstract: A method and system for inspecting a customized orthodontic aligner for manufacturing defects are described. The method includes obtaining images of the customized orthodontic aligner, determining a digital file associated with the aligner, the digital file including a digital model of a mold used during manufacture of the customized orthodontic aligner, determining an inspection recipe for the aligner, determining an intended property for the customized orthodontic aligner by digitally manipulating the digital model of the mold, determining an actual property of the customized orthodontic aligner from the images, determining whether there is a manufacturing defect in the customized orthodontic aligner by comparing the intended property with the actual property, and outputting an output associated with the determination of whether there is a manufacturing defect.
    Type: Grant
    Filed: June 7, 2019
    Date of Patent: May 12, 2020
    Assignee: Align Technology, Inc.
    Inventors: Anatoliy Parpara, Ivan Kharpalev, Stephan Albert Alexandre Dumothier, Andrey Cherkas, Alexey Kalinichenko, Jack Shaw, Israel Velazquez
  • Patent number: 10650508
    Abstract: Systems and methods for defection classification in a semiconductor process are provided. The system includes a communication line configured to receive a defect image of a wafer from the semiconductor process and a deep-architecture neural network in electronic communication with the communication line. The neural network has a first convolution layer of neurons configured to convolve pixels from the defect image with a filter to generate a first feature map. The neural network also includes a first subsampling layer configured to reduce the size and variation of the first feature map. A classifier is provided for determining a defect classification based on the feature map. The system may include more than one convolution layers and/or subsampling layers. A method includes extracting one or more features from a defect image using a deep-architecture neural network, for example a convolutional neural network.
    Type: Grant
    Filed: December 1, 2015
    Date of Patent: May 12, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Wei Chang, Ramon Olavarria, Krishna Rao
  • Patent number: 10636620
    Abstract: By switching between a plurality of image transfer units based on a state of a stage and using the switched image transfer unit, traceability of stage movement and tolerance to communication failure can be improved. A first image transfer protocol is a protocol of which reliability is higher than reliability of a second image transfer protocol, and a switch unit may select a first image transfer unit in a case where it is determined that a state of a stage is a state in which the stage is stopping. A second image transfer unit is a protocol of which a transfer speed is higher than a transfer speed of the first image transfer protocol, and the switch unit may select the second image transfer unit in a case where it is determined that the state of the stage is a state in which the stage is moving.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: April 28, 2020
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroshi Kobayashi, Akira Karakama, Norio Sato
  • Patent number: 10620897
    Abstract: A comparison apparatus includes: a memory configured to store instructions; and a processor configured to execute the instructions stored in the memory, the instructions causing the processor to perform a comparison process including: detecting a different portion between a reference image and a comparison target image by comparing the reference image and the comparison target image; and setting at least one of the different portion and a region in a vicinity of the different portion as a non-target region to be detected as the different portion when compared with the reference image.
    Type: Grant
    Filed: April 17, 2018
    Date of Patent: April 14, 2020
    Assignee: FUJITSU LIMITED
    Inventor: Kazuyuki Harada
  • Patent number: 10614566
    Abstract: An inspection condition determination device comprises: an addition unit that adds data mimicking a flaw assumed to occur in an inspection target to a designated position of a three-dimensional model of the inspection target; a generation unit that generates an image without a flaw by replicating an optical condition for capturing an image of the inspection target on the three-dimensional model, and an image with the flaw by replicating the optical condition on the three-dimensional model to which the data mimicking the flaw is added; a determination unit that determines whether or not a difference between the image without a flaw and the image with the flaw at the designated position exceeds a threshold that allows detection of the flaw in the inspection target; and an extraction unit that extracts an optical condition available for detecting flaws of multiple designated patterns from multiple optical conditions.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: April 7, 2020
    Assignee: FANUC CORPORATION
    Inventor: Keisuke Watanabe
  • Patent number: 10607334
    Abstract: A method and apparatus of detection, registration and quantification of an image. The method may include obtaining an image of a lithographically created structure, and applying a level set method to an object, representing the structure, of the image to create a mathematical representation of the structure. The method may include obtaining a first dataset representative of a reference image object of a structure at a nominal condition of a parameter, and obtaining second dataset representative of a template image object of the structure at a non-nominal condition of the parameter. The method may further include obtaining a deformation field representative of changes between the first dataset and the second dataset. The deformation field may be generated by transforming the second dataset to project the template image object onto the reference image object. A dependence relationship between the deformation field and change in the parameter may be obtained.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: March 31, 2020
    Assignee: ASML Netherlands B.V.
    Inventors: Scott Anderson Middlebrooks, Markus Gerardus Martinus Maria Van Kraaij, Maxim Pisarenco, Adrianus Cornelis Matheus Koopman, Stefan Hunsche, Willem Marie Julia Marcel Coene
  • Patent number: 10605719
    Abstract: An analysis controller determines multi-dimensional characteristics of one or more corrosion pits in equipment. These characteristics can include depths, widths, and/or aspect ratios of the corrosion pits. The controller also determines one or more stresses on the equipment based on the characteristics of the corrosion that are determined. The analysis controller also generates a control signal to implement one or more remedial actions to one or more of remove the one or more corrosion pits, repair the equipment, or restrict operation of the equipment based on the one or more stresses that are determined.
    Type: Grant
    Filed: June 8, 2017
    Date of Patent: March 31, 2020
    Assignee: GENERAL ELECTRIC COMPANY
    Inventors: Andrew Joseph Detor, Bernard Patrick Bewlay, Monica Soare
  • Patent number: 10600176
    Abstract: An inspection method according to the embodiments includes applying light of a light source to an inspection target; receiving light from the inspection target to obtain a first image of the inspection target by a sensor; based on an image of a first pattern comprising repetitive patterns unresolvable with a wavelength of the light source in the first image, calculating a deviation of luminance values with respect to each of first regions in the first pattern by a processor; obtaining a second image of the inspection target by the sensor; correcting luminance values of the second image by the processor based on the deviations of the luminance values; and comparing the repetitive patterns of the corrected second image with each other by a comparer.
    Type: Grant
    Filed: May 25, 2017
    Date of Patent: March 24, 2020
    Assignee: NUFLARE TECHNOLOGY, INC.
    Inventor: Hideo Tsuchiya
  • Patent number: 10591539
    Abstract: A method for automated scan chain diagnostics includes segmenting an image of a device associated with a design layout to allocate pixels to individual design elements, comparing actual emission signatures for the individual design elements to expected emission signatures, and determining whether the actual emission signatures differ from the expected emission signatures by more than a threshold amount to determine if a defect is present.
    Type: Grant
    Filed: March 8, 2019
    Date of Patent: March 17, 2020
    Assignee: International Business Machines Corporation
    Inventors: Franco Stellari, Peilin Song
  • Patent number: 10593032
    Abstract: In a defect inspection method, first and second inspection conditions having a first sensitivity of detection signal and having a second sensitivity of a detection signal for a defect of interest (DOI), respectively, are determined. The first and second sensitivities are different. First and second images of the same detection region on a substrate surface under the first and second inspection conditions respectively, are obtained. The first and second images are matched to detect a defect in the detection region.
    Type: Grant
    Filed: January 27, 2017
    Date of Patent: March 17, 2020
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Yoon Ryu, Joon-Seo Song, Yu-Sin Yang, Chung-Sam Jun, Yun-Jung Jee
  • Patent number: 10572995
    Abstract: A high resolution optical image is acquired by irradiating a mask with light emitted by a light source via a high resolution optical system. A low resolution optical image is acquired by irradiating the same mask with the light via a low resolution optical system. The design data of the mask pattern is corrected in light of shapes and dimensions determined according to at least one of a manufacturing process of the mask and a manufacturing process of a semiconductor device to be manufactured by transferring the mask pattern to a semiconductor wafer. Reference image data are generated corresponding to the high resolution optical image and the low resolution optical image. Whether the defect detected in the high resolution optical image is true or false is determined according to information of the defect detected in the low resolution optical image.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: February 25, 2020
    Assignee: NuFlare Technology, Inc.
    Inventors: Hiromu Inoue, Nobutaka Kikuiri
  • Patent number: 10572990
    Abstract: A pattern inspection apparatus includes: an optical image acquiring mechanism to acquire optical image data of a corresponding divided pattern for each of masks for multiple patterning has been formed; a position deviation map generating processing circuitry to generate position deviation maps regarding the corresponding divided pattern; a difference position value map generating processing circuitry to generate one difference position value map defining a difference value between relative position deviation amounts of the each minimum element of the position deviation maps; a region specifying processing circuitry to specify at least one region having the difference value exceeding a threshold of distance between patterns laying side-by-side by using the difference position value map; and an output mechanism to output at least coordinates, a type of defect, and information of a reference image of each region specified for the each region specified.
    Type: Grant
    Filed: April 7, 2017
    Date of Patent: February 25, 2020
    Assignee: NuFlare Technology, Inc.
    Inventors: Shusuke Yoshitake, Manabu Isobe, Thomas Scheruebl, Dirk Beyer, Sven Heisig
  • Patent number: 10572991
    Abstract: A method may include, but is not limited to, receiving a plurality of reference images of a wafer. The method may include, but is not limited to, receiving the plurality of test images of the wafer. The method may include, but is not limited to, aligning the plurality of reference images and the plurality of test images via a coarse alignment process. The method may include, but is not limited to, aligning the plurality of reference images and the plurality of test images via a fine alignment process after alignment via the coarse alignment process. The fine alignment process may include measuring individual offsets and correcting individual offset data between at least one of the plurality of reference images and the plurality of test images.
    Type: Grant
    Filed: December 11, 2017
    Date of Patent: February 25, 2020
    Assignee: KLA-Tencor Corporation
    Inventors: Hong Chen, Michael Cook, Pavan Kumar, Kenong Wu
  • Patent number: 10567632
    Abstract: A non-transitory computer-readable storage medium storing a program that causes a computer to execute a process, the process including identifying a damaged part of a structure included in a captured image by analyzing the captured image, specifying a first partial area including the damaged part in the captured image, and specifying a second partial area corresponding to the partial area in each of a plurality of past captured images captured before the captured image, and generating a plurality of compressed images corresponding to the plurality of past captured images by compressing an image area in each of the plurality of past captured images without compressing the second partial area.
    Type: Grant
    Filed: September 5, 2018
    Date of Patent: February 18, 2020
    Assignee: FUJITSU LIMITED
    Inventors: Hiroshi Yamagami, Hideyuki Kikuchi