Including Wafer Orienting Means Patents (Class 414/936)
  • Patent number: 6149368
    Abstract: A wafer disk pad is presented having one or more wafer loading points to facilitate wafer loading and unloading using a vacuum wand. The wafer loading points comprise grooves in a base plate. Each groove begins at a frontside surface of the base plate, extends under a portion of an upper surface of the base plate reserved for wafer placement, and is dimensioned to receive a tip of a vacuum wand. In one embodiment, the base plate includes a pair of grooves. A first groove is located on a left side of the wafer disk pad, and is conveniently located and oriented for left-handed operators. A second groove is located on a right side of the wafer disk pad, and is conveniently located and oriented for right-handed operators facing the frontside surface. Each groove is preferably sloped to facilitate separation of the semiconductor wafer from the pad. The depth of each groove is greatest at the frontside surface and decreases with increasing lateral distance into the base plate from the frontside surface.
    Type: Grant
    Filed: June 12, 1998
    Date of Patent: November 21, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: J. Carlos Reyes, Jr., David S. McStay, Donald L. Friede
  • Patent number: 6142358
    Abstract: Break-away tethers to secure electronic, mechanical, optical, or other microstructures, during release from one substrate and transfer to another. Microstructures are fabricated with integrated tethers attaching them to a first substrate. The structures are undercut by etching and contacted and bonded to a second substrate. First and second substrates are separated, breaking the tethers.
    Type: Grant
    Filed: May 31, 1997
    Date of Patent: November 7, 2000
    Assignee: The Regents of the University of California
    Inventors: Michael B. Cohn, Roger T. Howe
  • Patent number: 6143083
    Abstract: A substrate processing apparatus comprises a substrate transfer chamber; a substrate processing chamber disposed on a first side wall of the substrate transfer chamber; an intermediate substrate holding chamber disposed on a second side wall of the substrate transfer chamber; a first substrate holder disposed within the intermediate substrate holding chamber; a second substrate holder disposed within the substrate processing chamber; a first substrate transfer robot, disposed within the substrate transfer chamber, for transferring the substrate between the substrate processing chamber and the intermediate substrate holding chamber; a first gate valve disposed between the substrate processing chamber and the substrate transfer chamber; a second gate valve disposed between the substrate transfer chamber and the intermediate substrate holding chamber; an atmospheric pressure section located opposite to the substrate transfer chamber with respect to the intermediate substrate holding chamber; a third valve dispos
    Type: Grant
    Filed: December 2, 1999
    Date of Patent: November 7, 2000
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Shuji Yonemitsu, Toshikazu Karino, Hisashi Yoshida, Shinichiro Watahiki, Yuji Yoshida, Hideo Shimura, Takeshi Sugimoto, Yukinori Aburatani, Kazuhito Ikeda
  • Patent number: 6139251
    Abstract: A stepper alignment method and apparatus for transferring circuit layout on a mask to a wafer precisely includes a stepper located in a susceptor and includes a vacuum chuck and a movable vacuum chuck. The wafer has two notches on its perimeter. The vacuum chuck has two sets of photo sensors mating against the notches and a central circular opening for housing the movable vacuum chuck therein. The movable vacuum chuck holds the wafer by means of vacuum force and is able to rotate and move linearly to align the notches of the wafer against the photo sensors accurately at high speed.
    Type: Grant
    Filed: January 25, 1999
    Date of Patent: October 31, 2000
    Assignee: Nanya Technology Corporation
    Inventor: Ron-Fu Chu
  • Patent number: 6131589
    Abstract: A load station is used in a planarizing machine to perform several useful functions related to handling of a wafer. By centering the wafer with respect to a spindle carrier the load station interrupts the accumulation of positional errors. The load station never makes solid contact with the wafer, but instead the wafer is continually levitated on three cushions of water that are directed upwardly against the lower face of the wafer. The presence of the wafer partially impedes the flow of water from the nozzles used for levitation causing an increase in the water pressure immediately upstream of the nozzles. This increased pressure is sensed and used as an indicator of the presence of a wafer at the load station. The load station further includes a nozzle that directs a stream against the lower side of the wafer so as to elevate the wafer from the load station into the carrier.
    Type: Grant
    Filed: February 9, 1999
    Date of Patent: October 17, 2000
    Assignee: Strasbaugh, Inc.
    Inventors: Michael R. Vogtmann, Terry L. Lentz
  • Patent number: 6130490
    Abstract: A stage assembly for precision movement in the x and y-directions, especially adapted for use in electron beam lithography for holding a reticle. The stage assembly includes a vacuum enclosure in which a magnet plate structure moves in the y-direction under the influence of a linear motor with respect to the enclosure. Riding inside the magnet plate is the stage which holds the reticle and moves in both the x and y-directions. x-direction movement is accomplished by the stage moving under the influence of "turnaround motors" in the x-direction. A turnaround motor at each end of the stage is only on for a brief period in order to drive the stage relative to the magnet plate in the x-direction. Then the other turnaround motor at the other end of the stage turns on and stops the movement of the stage in that direction and drives it back in the opposite direction. Reaction forces used to move the stage are transferred to the ground independent of the electron beam column supports.
    Type: Grant
    Filed: April 6, 1999
    Date of Patent: October 10, 2000
    Assignee: Nikon Corporation
    Inventor: Martin E. Lee
  • Patent number: 6130437
    Abstract: A sensor and detection system is included. The sensor includes at least one transmitter which has associated optical elements for emitting at least one light beam toward the object to be detected. At least one receiver is positioned adjacent the transmitter for receiving light reflected from an edge of the object. The receiver generates a signal responsive to the reflected light. The associated optical elements of the transmitter include a converging lens for emitting a focused light beam in one plane, and a cylindrical lens for emitting a diverging light beam in another plane, such that a portion of the reflected light is diverging and is received by the receiver over a wide angle of coverage.
    Type: Grant
    Filed: April 24, 1998
    Date of Patent: October 10, 2000
    Assignee: Hama Sensors, Inc.
    Inventors: Mark Cerny, Hans Bartunek
  • Patent number: 6126380
    Abstract: The present invention is a wafer handling robot which does not require a second mechanism for performing the task of pre-alignment. The robot uses the moving elements of the wafer handling robot which perform the wafer handling tasks to perform the tasks of centering and notch or flat finding of a wafer.
    Type: Grant
    Filed: August 4, 1998
    Date of Patent: October 3, 2000
    Assignee: Creative Design Corporation
    Inventor: Gary Hillman
  • Patent number: 6126382
    Abstract: A passive mechanism for centering a wafer on a chuck and with respect to a backside exclusion gas ring includes a plurality of wheels that are rotatably mounted in a circular pattern at the top surface of a chuck. The axis of rotation of each wheel is parallel to the top surface of the chuck and perpendicular to a radius extending outward from the centerpoint of the chuck surface. When a wafer is placed on the chuck, its edge contacts the wheels and, by its own weight, the wafer moves toward the center of the chuck, thereby centering itself. The wafer either slides on the wheels or, if the frictional force between the wafer and one or more of the wheels is great enough, the wafer causes the wheel to turn. The wheels may be mounted on the chuck, a carrier ring or a wafer transfer arm for moving wafers between processing stations. In one embodiment the alignment wheels are mounted on a carrier ring, and a second alignment mechanism aligns the carrier ring to the chuck.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: October 3, 2000
    Assignee: Novellus Systems, Inc.
    Inventors: Martin N. Scales, David A. Pechin, Jeffrey C. Benzing, R. Marshall Stowell
  • Patent number: 6126703
    Abstract: A processing system includes a plurality of types of internal processing machines that perform various processes on a semiconductor substrate and an interface section that delivers and receives the semiconductor substrate to and from an external exposure machine for performing an exposure process on the substrate subjected to a resist coating process, wherein the interface section includes a transfer unit for taking in the substrate subjected to a specific process from at least one of the internal processing machines and transferring the substrate and a substrate table unit for temporarily holding the substrate to transfer the substrate between the internal processing machine and the external exposure machine via the transfer unit.
    Type: Grant
    Filed: November 17, 1997
    Date of Patent: October 3, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Issei Ueda
  • Patent number: 6126381
    Abstract: A unitary prealigner and four link robot arm includes an upper arm, a middle arm, a forearm, and a hand that is equipped with vacuum pressure outlets to securely hold a specimen. The robot arm is carried atop a tube that is controllably positionable along a Z-axis direction. The prealigner is attached to the tube by a movable carriage that is elevatable relative to the robot arm. The prealigner further includes a rotatable chuck having a vacuum pressure outlet for securely holding a specimen in place within an edge detector assembly that senses a peripheral edge of the specimen. The prealigner may be elevated to receive a specimen from the robot arm or it may be lowered to allow clearance for the robot arm to rotate. In operation, the robot arm retrieves a specimen and places it on the prealigner, which performs an edge scanning operation to determine the effective center and specific orientation of the specimen.
    Type: Grant
    Filed: April 1, 1997
    Date of Patent: October 3, 2000
    Assignee: Kensington Laboratories, Inc.
    Inventors: Paul Bacchi, Paul S. Filipski
  • Patent number: 6111637
    Abstract: A method and an apparatus for examining wafers includes a wafer cassette having a capacity for holding a plurality of wafers located on each of first and second locaters. The wafer cassettes are fixedly held on the first and second locaters during the wafer examination. A first indicator shows that the wafer cassettes are fixedly held on the first and second locaters. A robot arm sequentially carries each of the wafers between the first locator, an aligner, a scanning chamber and the second locater to examine the wafers. The wafer cassettes are released when the examination is complete, and a second indicator shows that the examination is complete.
    Type: Grant
    Filed: December 18, 1996
    Date of Patent: August 29, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Sang-Kyu Hahm, Young-Kyu Lim, Byoung-Seol Ahn
  • Patent number: 6106582
    Abstract: An apparatus for positioning an object at multiple positions within an enclosure has a moveable positioning member attached to a linear motor, both of which are positioned within the enclosure. The moveable positioning member is adapted to receive and support the object. A control signal directs the motion of the linear motor which moves the moveable positioning member and, thus, the object. An insulated conductor extends through the wall of the enclosure and allows electrical communication through the enclosure wall. The insulated conductor communicates with a power supply and a control mechanism exterior the enclosure. A flexible connection line, adapted to avoid contact with the enclosure, provides communication between an insulated conductor and the linear motor so that the linear motor is in communication with the power supply and the control mechanism.
    Type: Grant
    Filed: June 25, 1997
    Date of Patent: August 22, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Roger Heyder, Thomas Brezoczky, Deepak Manoharlal
  • Patent number: 6099643
    Abstract: An atmospheric conditioning unit for supplying temperature- and humidity-controlled air to a chemical processing part (spin coater) is arranged immediately above a chemical processing part, between this chemical processing part and a heat treatment part (including a hot plate and a cool plate). Namely, the chemical processing part, the atmospheric conditioning unit and the heat treatment part are vertically arranged in a stacked manner. The atmospheric conditioning unit receives external air from an opening. A closed partition is provided to block air flow between the atmospheric conditioning unit and a transport area. The temperature- and humidity-controlled air supplied from the atmospheric conditioning unit to the spin coater forms a downflow in the spin coater, and thereafter rises through an opening and joins with the air flowing from the opening, to be introduced into the atmospheric conditioning unit again and reused.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: August 8, 2000
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Masami Ohtani, Minobu Matsunaga, Tutomu Ueyama, Ryuji Kitakado, Kaoru Aoki
  • Patent number: 6085967
    Abstract: A method of registrably aligning wafers prior to bonding uses an assembly jig having a plurality of upstanding aligning elements each of which is arranged to registrably align with one of a predetermined number of locating features of the wafers. The locating features of the wafers are arranged about a corresponding upstanding aligning element of the jig prior to bonding the wafers.
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: July 11, 2000
    Assignee: Eastman Kodak Company
    Inventors: William J. Grande, Joseph E. Yokajty
  • Patent number: 6086976
    Abstract: A semiconductor wafer comprising a single crystalline lattice suitable for use in the manufacture of integrated circuits, namely computer chips and dies, wherein a diameter of the wafer is greater than approximately 150 millimeters and wherein the wafer includes a first hole extending through the wafer. The hole is adapted to facilitate handling of the wafer without directly contacting a surface of the wafer. The wafer preferably includes a primary flat and the first hole includes a flat side having a predetermined and known orientation with respect to the primary flat of the wafer. In one embodiment, the wafer further includes a guide hole formed near the first hole such that the center-points of the first hole and the guide hole are oriented with a predetermined and known orientation with respect to the primary flat of the wafer.
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 6074515
    Abstract: In a substrate processing apparatus receiving substrates held in a common carrier in a horizontal attitude, the substrates are transferred in the horizontal attitude from the common carrier to an exclusive carrier. The exclusive carrier is rotatable on a horizontal axis. By rotating the exclusive carrier, the substrates are turned from the horizontal attitude to a vertical attitude. Then, the substrates held in the vertical attitude are taken out of the exclusive carrier and transferred to a processing part for processing in the vertical attitude. This allows a simple and speedy turn of the plurality of substrates. Further, even the apparatus for processing the substrates in a vertical attitude can transfer the substrates into and out of the apparatus in the horizontal attitude by using the common carrier.
    Type: Grant
    Filed: March 20, 1998
    Date of Patent: June 13, 2000
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Izuru Iseki, Seiichiro Sato, Yusuke Muraoka
  • Patent number: 6064475
    Abstract: An apparatus and method for determining local de-focus problems on a real time basis in a wafer exposure system. The distance of a wafer from an objective lens and rotation of the wafer about two orthogonal axis at each field position is adjusted to achieve optimum focus for each field of the wafer. The rotational data is fed to a computer and analyzed to determine if any of the rotational angles or if the difference between individual rotational angle and the mean rotational angle exceed critical angles. If any of the critical angles are exceeded local de-focus will occur and the exposure system must be checked for defects. If none of the critical angles are exceeded processing continues with the next wafer. The comparison of the rotational angles to the critical angles is performed for each wafer before continuing with the next wafer so that problems are discovered on a real time basis.
    Type: Grant
    Filed: June 10, 1999
    Date of Patent: May 16, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hung-Chih Chen, Yao-Chanet Chu, Tzu-Yu Lin, Chih-Chien Hung
  • Patent number: 6062795
    Abstract: A device for preventing wafer rings from being caught on a pair of guide rails of a wafer ring conveying apparatus, including an open-and-close mechanism which moves the pair of guide rails in a closing direction when a wafer ring is supplied onto the guide rails from the wafer ring cassette so as to correct the rotational orientation and/or offset of the wafer ring.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: May 16, 2000
    Assignee: Kabushiki Kaisha Shinkawa
    Inventors: Shigeru Fuke, Tsuneharu Arai, Eiji Kikuchi
  • Patent number: 6052913
    Abstract: This invention relates to a device for simultaneously centering a plurality of substrates, particularly semiconductor wafers W.A plurality of turntables 23 for supporting the semiconductor wafers W are arranged at a predetermined spacing in the vertical direction. All of the turntables 23 are driven by a single, common servo motor 28. Clutches 26 for each of the turntables 23 are disposed on rotational shafts 38a and 38b that are driven by the servo motor 28. A drive pulley 41 is attached to an output side of each clutch 26 and each drive pulley 41 drives the corresponding turntable 23 via a belt. A sensor device 29 for detecting a notch formed in the peripheral edge of each semiconductor wafer W is disposed on an outer side in the radial direction of each of the turntables 23.A wafer W is placed on each of the turntables 23 and is centered. The servo motor 28 operates in a state in which the clutches 26 are connected, so that all of the turntables 23 start to rotate simultaneously.
    Type: Grant
    Filed: July 14, 1998
    Date of Patent: April 25, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Kaneko, Katuki Yamasaki
  • Patent number: 6051066
    Abstract: This invention relates to apparatus for, and methods of, providing controlled depositions on substrates. The substrates are particularly adapted to provide die for use as the spacers in magnetic heads to dispose the magnetic heads in almost abutting relationship to a memory medium such as a disc and to protect the heads against damage by the disc if the disc should contact the heads while the disc is rotating at a high speed.This invention is particularly concerned with an end effector apparatus disposed in a transport module between a cassette module on one side of the transport module and a process module on the other side of the transport module. The end effector apparatus provides a controlled transfer of substrates between a cassette holder in the cassette module and apparatus disposed in the process module for producing a controlled deposition on the substrate.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: April 18, 2000
    Assignee: Sputtered Films, Inc.
    Inventor: Robert George Begin
  • Patent number: 6048655
    Abstract: An apparatus for carrying a substrate having a substrate storage part for storing substrate casings that encase substrates, and a carrier system for carrying the substrate to a substrate stage from the substrate storage part. The apparatus a first carrier device, a temporary storage part and a second carrier device. The first carrier device carries the substrate to a first substrate carry position from the substrate storage part. The temporary storage unit incorporates a holding mechanism for individually adsorptively holding the substrate by vacuum in a predetermined state and is provided between the first substrate carry position and the substrate stage. The second carrier device is capable of carrying the substrate to the substrate stage from the first carry position and also carrying the substrate between the temporary storage unit and the substrate stage.
    Type: Grant
    Filed: December 3, 1997
    Date of Patent: April 11, 2000
    Assignee: Nikon Corporation
    Inventor: Kanefumi Nakahara
  • Patent number: 6040585
    Abstract: The orientation of a wafer carried on a blade of a semiconductor wafer transfer system is sensed in order to prevent wafer damage during transfer of the wafer from chamber-to-chamber within a semiconductor processing system. At least a pair of laser beams are used to sense both tilt of the wafer on the blade, and mis-alignment of the blade in the chamber. A control and logic circuit lock out movement of the blade when the laser beams indicate tilt of the wafer or mis-alignment of the blade.
    Type: Grant
    Filed: August 20, 1999
    Date of Patent: March 21, 2000
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Yi Li Hsiao
  • Patent number: 6037733
    Abstract: An improvement is set forth in a robotic arm structure which includes at least two links. .theta. motion is provided about a primary axis at the proximal end portion of the proximalmost of the links. R motion proceeds radially from the primary axis whereby the distal end portion of the distalmost of the links can be moved in a radially extending straight line. An end effector is pivotally mounted for rotation relative to the distal end portion of the distalmost link about an end effector axis which is parallel to the primary axis. The structure is improved by adding one or more a yaw motor, a roll motor and a pitch motor for rotating the wrist of the arm about the respective axes. A sensor array senses the R, .theta., Z and yaw, roll and/or pitch motions and creates and transmits electronic signals representative thereof to a computer controller which monitors and controls the R, .theta., Z and yaw, roll and/or pitch motions.
    Type: Grant
    Filed: May 15, 1998
    Date of Patent: March 14, 2000
    Assignee: Genmark Automation
    Inventors: Genco Genov, Alexander Todorov, Lubo Kostov, Peter Petkov, Valentin Totev, Eugene Bonev, Zlatko Sotirov
  • Patent number: 6033521
    Abstract: A tilt mechanism for periodically tilting a cassette configured to hold a plurality of wafers or workpieces such that the wafers or workpieces become gravity-loaded against a rear portion of the cassette. The tilt mechanism is mounted entirely above a worktable of a CMP or other processing machine and comprises a housing which houses a circular cam having a spiral groove formed therein. A tilt arm is pivotally mounted to the housing and extends vertically between a lower end which is adjacent the cam and an upper end which is fixed to a platform supporting a cassette holding a plurality of workpieces. A cam follower is attached to the lower end of the arm and projects into the groove. Rotary motion of the cam effects pivotal movement of the tilt arm which, in turn, effects tilting of the support platform and the cassette.
    Type: Grant
    Filed: June 4, 1997
    Date of Patent: March 7, 2000
    Assignee: SpeedFam-IPEC Corporation
    Inventors: Robert F. Allen, Ricardo T. Jordan
  • Patent number: 6027301
    Abstract: A semiconductor wafer testing apparatus has a work table on which a carrier containing semiconductor wafers to be checked is placed. The work table is equipped for combined wafer alignment and wafer code recognition while the wafers remain in their carrier in one position on the work table. The alignment is accomplished with a wafer flat zone aligner which has a pair of roller pins each coming in contact with circumferences of the wafers being stacked in the carrier through an open lower part of the carrier and an opening in the table. The wafer code recognition is accomplished with an optical character recognizer that moves up and down and forward and backward with respect to the carrier, and interposes between the wafers in the carrier so as to read out codes which are on each wafer. This combined automated work station helps prevent contamination of the wafers by an operator or by unnecessary handling of the wafers, and also reduces cycle time for the entire inspection process.
    Type: Grant
    Filed: September 26, 1997
    Date of Patent: February 22, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yu Kweon Kim, Dong Ho Kim
  • Patent number: 6012192
    Abstract: A substrate processing apparatus which includes a substrate retention mechanism having retention rollers, including a plurality of driving rollers, for holding a substrate by abutting the retention rollers against different peripheral edge portions of the substrate, and a rotative driving mechanism for rotating the plurality of driving rollers. The plurality of driving rollers preferably include a pair of driving rollers generally opposed to each other diametrically of the substrate to be held. Further, the retention rollers preferably all serve as the driving rollers.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: January 11, 2000
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Atsushi Sawada, Yasuhiko Okuda, Shouji Komatsubara
  • Patent number: 6007675
    Abstract: An apparatus and method are described for stripping the photoresist from a wafer while in a substantially parallel manner, another wafer is being transferred between a load lock chamber and a transfer chamber, where the processing occurs. Further, a system is described whereby two load lock chambers are employed so that processing of wafers can continue uninterrupted by a delay caused by the need to open, empty, reload and re-equilibrate a single load lock chamber. Still further, a system is described for performing multi-step dry-stripping applications requiring different conditions for two or more of the steps wherein the steps may be performed simultaneously or sequentially. Finally, a system combining a dry-stripping module and a wet-cleaning module is described which combination system permits the continuous, fully-automated dry-stripping and wet-cleaning of wafers and, upon completion of the entire processing cycle, returning wafers to their original wafer cassettes.
    Type: Grant
    Filed: December 1, 1997
    Date of Patent: December 28, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 6004405
    Abstract: A wafer 1 has a chamfered edge 2 polished to specular glossiness, and a laser mark for indication of crystal orientation is put on the chamfered edge 2. Another laser mark 4 for indication of specification, production number, identification, etc. may be carved as a bar code on the chamfered edge 2. These marks 3, 4 are carved on the chamfered edge 2 by laser marking which does not put any harmful influences on the wafer 1.
    Type: Grant
    Filed: March 9, 1998
    Date of Patent: December 21, 1999
    Assignee: Super Silicon Crystal Research Institute Corp.
    Inventors: Hiroshi Oishi, Keiichiro Asakawa
  • Patent number: 5993142
    Abstract: An article positioning apparatus which includes a positioning arm structure on a rigid base structure and elevator structure. The base structure includes an upper flange and a lower flange secured to the upper flange by rigid members extending between the upper flange and the lower flange. The upper flange includes a bore therein. The elevator structure is positioned between the upper flange and the lower flange and itself includes an upper plate and a lower plate. The elevator structure coupled to the positioning arm structure through the bore in the upper flange. The elevator structure includes lead screw members having a respective upper end portion and a lower end portion, extending from the upper plate to the lower plate. In addition, the elevator structure includes a plurality of universal joints supported by the upper plate, one of said plurality of universal joints being associated with one of the lead screw members and being arranged to universally mount the upper plate to a respective lead screw.
    Type: Grant
    Filed: July 10, 1997
    Date of Patent: November 30, 1999
    Assignee: Genmark Automation, Inc.
    Inventors: Genco Genov, Alexander Todorov
  • Patent number: 5990650
    Abstract: A method and apparatus for orienting a disk to precisely align a fiducial mark on the disk relative to an external reference point while gripping the disk only along its circumferential edge.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: November 23, 1999
    Assignee: Lumonics Corporation
    Inventor: Earl Brock
  • Patent number: 5980194
    Abstract: A wafer position error detection and correction system determines the presence of a wafer on a wafer transport robot blade. The system also determines a wafer position error by monitoring the position of the wafer with respect to the blade with one sensor which is located proximate to each entrance of a process chamber. When a wafer position error is detected, the system determines the extent of the misalignment and corrects such misalignment if correctable by the wafer transport robot or alerts an operator for operator intervention. The system incorporates a transparent cover on the surface of the wafer handling chamber and four optical detection sensors disposed on the surface of the transparent cover, in which each sensor is placed proximate to the entrance of the process chamber. In addition, an I/O sensor is placed adjacent the I/O slit valve to detect and correct wafer position errors.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: November 9, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Frederik W. Freerks, Lloyd M. Berken, M. Uenia Crithfield, David Schott, Michael Rice, Michael Holtzman, William Reams, Richard Giljum, Lance Reinke, John S. Booth
  • Patent number: 5980195
    Abstract: An apparatus is provided with a plurality of stages of mounting bases on each of which is disposed upwardly orientated, narrow tapered pins around the periphery of a semiconductor wafer, and a plurality of stages of turntables, one for each of the mounting bases, with the mounting bases being capable of moving independently of the turntables. When a wafer is transferred from a transporter arm to the tapered pins, the peripheral edge of the wafer comes into contact with the inner peripheral surfaces of the tapered pins and the wafer is centered thereby. The turntable then picks up the wafer and aligns the orientation thereof. This makes it possible to position the centers of a plurality of wafers and position the orientations thereof in a simple manner.
    Type: Grant
    Filed: April 22, 1997
    Date of Patent: November 9, 1999
    Assignee: Tokyo Electron, Ltd.
    Inventor: Masahiro Miyashita
  • Patent number: 5980188
    Abstract: An apparatus and method for carrying wafers through a multi-step process for producing a semiconductor, without using a cassette, where the apparatus senses whether a wafer is mis-loaded. The apparatus includes a plurality of optical sensors positioned above the wafers for sensing whether a wafer is mis-loaded by transmitting light past circumferential edges of the wafers.
    Type: Grant
    Filed: November 28, 1997
    Date of Patent: November 9, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-in Ko, Jae-sang Park, Kyung-soo Kim, Jae-bum Park
  • Patent number: 5975740
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: May 28, 1996
    Date of Patent: November 2, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 5970818
    Abstract: A notch alignment apparatus has a unit body (15), which can advance into a carrier (3) through a bottom opening (4) of the carrier (3), for aligning notches (2) formed in the peripheral edges of semiconductor wafers (1), which are contained in the carrier (3) and arrayed in a facing state. The unit body (15) is provided with a rotationally driving shaft (25) having a cylindrical shape, for coming into contact with the peripheral edges of the wafers (1) from below and driving the wafers (1) to rotate all together. A plurality of idle pulleys (26) independent of each other are arranged on one side of the driving shaft (25), for coming into contact with the peripheral edges of the wafers (1) from below. The idle pulleys (26) are supported by a common pulley shaft (27) to be independently and freely rotatable. A stopper or wafer guide (28) is arranged on the other side of the driving shaft (25), for stopping rotation of the wafers (1) by means of contact with the peripheral edges of the wafers (1).
    Type: Grant
    Filed: September 3, 1997
    Date of Patent: October 26, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Hisashi Kikuchi, Mitsuru Obara
  • Patent number: 5970621
    Abstract: An apparatus for detecting the presence of a wafer cassette, or pod, resting on an arrangement of pins allows cassette detection without interfering with insertion of a robotic paddle arm beneath the cassette to remove it for transport. A cassette resides on an arrangement of beveled pins which mate with corresponding receptacles on the underside. Pins supporting the cassette have a spring biased, hollow outer cylinder coaxially mounted around a center post. A cassette placed on the pins displaces the outer cylinder downward a sufficient distance to trigger a sensor. Upon removal of the cassette, the outer cylinder is displaced upwards, resetting the sensor.
    Type: Grant
    Filed: January 7, 1999
    Date of Patent: October 26, 1999
    Assignee: PRI Automation, Inc.
    Inventors: Kenneth J. Bazydola, Robert L. Price
  • Patent number: 5971696
    Abstract: There is provided a cassette carrying-in system, which can rotate a cassette housing therein substrates to be treated so that the substrates are changed from a vertical state to a horizontal state and which can carry the cassette in, e.g., a load-lock chamber of a vacuum processing unit. The cassette carrying-in system is provided for carrying a cassette, in which a plurality of substrates to be processed are arranged in parallel, in a processing unit for treating the substrates.
    Type: Grant
    Filed: September 29, 1997
    Date of Patent: October 26, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Tamio Endo, Yoshiyuki Harima
  • Patent number: 5957651
    Abstract: A rotary shaft is supported by a frame so as to be rotatable and movable vertically relative to the frame. A carrying arm is pivoted to the rotary shaft and a substrate receiver is attached to an end of the carrying arm and receives a substrate. A guide base is mounted on the rotary shaft on the lower side of the substrate receiver in such a manner that the guide base is approximately parallel to the substrate receiver, and a substrate alignment mechanism is attached to the rotary shaft via the guide base. The substrate alignment mechanism includes a pair of location correcting members which are coupled to air cylinders. The location correcting members are displaced by air cylinders to correct the location of substrate.
    Type: Grant
    Filed: July 21, 1997
    Date of Patent: September 28, 1999
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Yuji Takebayashi, Tokunobu Akao, Yoshikazu Konno
  • Patent number: 5944476
    Abstract: A unitary prealigner and robot arm includes an upper arm, a forearm, and a hand that is equipped with vacuum pressure outlets to securely hold a specimen. The robot arm is carried atop a tube that is controllably positionable along a Z-axis direction. The prealigner is attached to the tube by a movable carriage that is elevatable relative to the robot arm. The prealigner further includes a rotatable chuck having a vacuum pressure outlet for securely holding a specimen in place within an edge detector assembly that senses a peripheral edge of the specimen. The prealigner may be elevated to receive a specimen from the robot arm or it may be lowered to allow clearance for the robot arm to rotate. In operation, the robot arm retrieves a specimen and places it on the prealigner, which performs an edge scanning operation to determine the effective center and specific orientation of the specimen.
    Type: Grant
    Filed: March 26, 1997
    Date of Patent: August 31, 1999
    Assignee: Kensington Laboratories, Inc.
    Inventors: Paul Bacchi, Paul S. Filipski
  • Patent number: 5944940
    Abstract: A wafer transfer system is described for transferring a wafer while at substantially the same time another wafer is being processed. The wafer transfer system comprises, in one embodiment, a transfer chamber having a wafer transfer blade, a load lock chamber coupled to the transfer chamber, an atmospheric robot for loading and unloading the wafer into the load lock chamber, and a slider coupled to the wafer transfer blade for moving the wafer transfer blade between the transfer chamber and the load lock chamber. According to a preferred embodiment, the slider utilizes a magnetic coupling mechanism. In a further embodiment, a device comprising a transfer chamber coupled to a plurality of plasma sources capable of simultaneously or sequentially providing different plasma structures within the transfer chamber, is described.
    Type: Grant
    Filed: July 8, 1997
    Date of Patent: August 31, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 5935330
    Abstract: An automatic wafer plating equipment for automatically plating wafers and more particularly, for automatically plating a small lot of wafers is disclosed. The automatic wafer plating equipment includes a transfer robot provided with a holding arm for pivoting, vertical movement, extending and extracting, a load stage, an orientation stage, a plating stage, a recovery stage, and a cleaning stage. The transfer robot carries out a series of the following operations for plating process. The wafers are picked up one by one from a supply cassette loaded to the load stage. The wafer is then fed to the orientation stage. The wafer orientated at the orientation stage is conveyed to the plating stage. The plated wafer is transferred from the plating stage to the recovery stage. When residue of a plating liquid has been recovered at the recovery stage, the wafer is conveyed to the cleaning stage.
    Type: Grant
    Filed: July 28, 1997
    Date of Patent: August 10, 1999
    Assignee: Electroplating Engineers of Japan Ltd.
    Inventor: Kazuhiro Taniguchi
  • Patent number: 5934984
    Abstract: A polishing apparatus is used for polishing a workpiece such as a semiconductor wafer to a flat mirror finish. The polishing apparatus has a pusher for transferring the workpiece between a top ring of a polishing apparatus and the pusher. The polishing apparatus includes a turntable having a polishing surface, a top ring for supporting the workpiece to be polished and pressing the workpiece against the polishing surface, and a pusher for transferring the workpiece between the top ring and the pusher. The pusher comprises a workpiece support for supporting the workpiece, an actuating unit for moving the workpiece support in a vertical direction, a sliding mechanism movable within a horizontal plane, and a positioning mechanism for positioning the workpiece support and the top ring with respect to each other in association with the sliding mechanism when the workpiece is transferred between the workpiece support and the top ring.
    Type: Grant
    Filed: February 26, 1997
    Date of Patent: August 10, 1999
    Assignee: Ebara Corporation
    Inventors: Tetsuji Togawa, Shunichiro Kojima
  • Patent number: 5915910
    Abstract: A wafer transfer mechanism and method involves inserting a wafer carrying arm into position within a wafer cassette so that a wafer may be detachably coupled to the arm. The arm is moved linearly to position the arm for coupling to the wafer, with the motion being reversed to remove the wafer from the cassette. After the arm clears the cassette, the arm may be pivoted about an axis which is transverse to the longitudinal axis of the arm to invert the wafer and deposit it at a destination location, such as onto a conveyor. A vacuum may be used to detachably couple the wafer to the arm with the vacuum being relieved to release the wafer at the destination location. A puff or pulse of air may be used to assist in decoupling the wafer from the arm.
    Type: Grant
    Filed: August 29, 1997
    Date of Patent: June 29, 1999
    Assignee: Daitron, Inc.
    Inventors: John Howells, Randall W. Peltola
  • Patent number: 5900105
    Abstract: A wafer transfer system is described for transferring a wafer while at substantially the same time another wafer is being processed. The wafer transfer system comprises, in one embodiment, a transfer chamber having a wafer transfer blade, a load lock chamber coupled to the transfer chamber, an atmospheric robot for loading and unloading the wafer into the load lock chamber, and a slider coupled to the wafer transfer blade for moving the wafer transfer blade between the transfer chamber and the load lock chamber. According to a preferred embodiment, the slider utilizes a magnetic coupling mechanism and a plurality of plasma sources are coupled to the transfer chamber. The plurality of plasma sources are arranged in a plurality of pairs of plasma sources. Each plasma source in a pair of plasma sources share the other plasma source's induction coils.
    Type: Grant
    Filed: July 9, 1996
    Date of Patent: May 4, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 5895549
    Abstract: A chamber for etching substrates includes a support member therein which is suspended from a sidewall of the chamber. The support member includes multiple planar faces for receiving substrates thereon, and is rotatable about a horizontal axis to position the multiple planar faces in a horizontal position to place the substrates on the planar faces or remove the substrates from the planar faces, and a second position to place the substrates in a non-horizontal position for processing. A clamping and lifting apparatus is provided on the support member that is positionable, with respect to the support member, in an extended position to permit a substrate to be positioned between the clamping and lifting apparatus and the support member, and in a retracted position to clamp the substrate to the support member.The chamber is especially adapted to etching substrates requiring high power densities, such as substrates having aluminum films, without causing arcing.
    Type: Grant
    Filed: October 16, 1996
    Date of Patent: April 20, 1999
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: Haruhiro Goto, Jerry Yuen-Jui Wong, Junichi Fujimoto
  • Patent number: 5890269
    Abstract: A semiconductor wafer comprising a single crystalline lattice suitable for use in the manufacture of integrated circuits, namely computer chips and dies, wherein a diameter of the wafer is greater than approximately 150 millimeters and wherein the wafer includes a first hole extending through the wafer. The hole is adapted to facilitate handling of the wafer without directly contacting a surface of the wafer. The wafer preferably includes a primary flat and the first hole includes a flat side having a predetermined and known orientation with respect to the primary flat of the wafer. In one embodiment, the wafer further includes a guide hole formed near the first hole such that the center-points of the first hole and the guide hole are oriented with a predetermined and known orientation with respect to the primary flat of the wafer.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: April 6, 1999
    Assignee: Advanced Micro Devices
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 5885355
    Abstract: A semiconductor fabrication apparatus having a process chamber and a handler which is provided for loading wafers into the process chamber or unloading wafers therefrom, comprising a plurality of switches for detecting positions of the handler and for generating detection signals in accordance with the positions; and an indicator for indicating normal operations of the switches. The indicator has relays operated in accordance with a voltage level of each of the detection signals; and LEDs associated with each of the relays, for identifying a normal operation of the handler. By confirming the conductive/nonconductive state of the LED, the operator can easily determine if the switches are accurately detecting the position of a load fork and/or an aligning plate.
    Type: Grant
    Filed: December 26, 1996
    Date of Patent: March 23, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Byoung-Soo Song, Chan-Il Yu
  • Patent number: 5885054
    Abstract: The present invention provides a carrying device for semiconductor wafers. By using a simply constructed stage, the semiconductor wafers can be precisely positioned and rotating semiconductor wafers can be reliably supported and, only one kind of wafer stage needs to be provided for semiconductor wafers of different diameters, allowing more flexibility. The carrying device for loading a semiconductor wafer into a wafer carrier or taking the semiconductor wafer from the wafer carrier includes a carrier station for receiving wafer carrier, carrying arms for carrying semiconductor wafer, and a wafer stage for receiving the wafer. The wafer stage has a supporting shaft and four supporting arms. The supporting shaft is horizontally rotatable and is elevatable. Each supporting arm is upwardly curved.
    Type: Grant
    Filed: January 31, 1997
    Date of Patent: March 23, 1999
    Assignee: Komatsu Electronics Metals Co. Ltd.
    Inventors: Hiroshi Kato, Kazuo Kuroda
  • Patent number: 5884242
    Abstract: A method and system for detecting focus spots. Data from a file created during stepper operation is extracted to get field coordinate position, leveling scheme, and tilt with respect to the x- and y-axes, and wafer height with respect to the focal plane for the multiple fields on the multiple wafers in a production batch. A delta value is calculated for the x- and y-axes tilt data which averages the tilt of each field with its surrounding fields. Delta values are placed in a 3-dimensional data structure linking neighboring fields and corresponding fields on subsequent wafers. Focus spots are detected by the repeated presence of data spikes over the sum of the arithmetic mean and some multiple of the standard deviation of the delta values.
    Type: Grant
    Filed: July 17, 1997
    Date of Patent: March 16, 1999
    Assignee: Micron Technology, Inc.
    Inventors: Daniel Meier, Gregory King, Michael McMahon