Including Means For Charging Or Discharging Wafer Cassette Patents (Class 414/937)
  • Patent number: 6135698
    Abstract: A universal interface and transfer apparatus is disclosed which may be configured either as an indexer or a load port opener. In each configuration, the universal interface and transfer apparatus includes a port plate having a central opening, and a port door fitting within and sealing the central opening when no pod is present on the apparatus. The apparatus further includes a base and a frame mounted on and extending up from a front of the base. A modular drive assembly is mounted within an exterior side of the frame, which drive assembly includes a linear drive screw, and a motor and torque transmission mechanism for rotating the linear drive screw. A carriage is mounted on the linear drive screw such that rotation of the linear drive screw results in vertical translation of the carriage.
    Type: Grant
    Filed: July 1, 1999
    Date of Patent: October 24, 2000
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Edward J. Cortez, J. Mark DiPaola, Robert R. Netsch
  • Patent number: 6135168
    Abstract: A standard mechanical interface wafer pod gas filling system, comprising: a platform, carrying a wafer pod cover of a wafer pod; a pod hold-down latch mechanism; a port, carrying a wafer pod base, with lateral gaps between the platform and the port; a pod door lock/unlock mechanism, mounted on the lower side of the platform; a port door up/down mechanism; several nozzles, mounted on one lateral side of the platform, having widening ends, wherein the lateral gap located opposite to the nozzles is wider than the other lateral gaps; a charging box, mounted on the lower side of the platform; and a gas supply unit for supplying inert gas through the nozzles and taking out air from the wafer pod.
    Type: Grant
    Filed: December 22, 1999
    Date of Patent: October 24, 2000
    Assignee: Industrial Technology Research Institute
    Inventors: Tung-Fang Yang, Tzong-Ming Wu
  • Patent number: 6132160
    Abstract: A substrate transferring apparatus 8 for taking out a semiconductor substrate W to be processed housed in a container 6 from within the container 6 comprises support plates 9 and a positional error correcting member 23 on each support plate. Each support plate 9 has a base portion and is to be inserted into the container 6 to take out the substrate W. The positional error correcting member 23 is provided at the base portion of the support plate 9. The member 23 is to abut a part of the substrate W projecting out of the container 6 and to push back the substrate W into the container 6 when the support plate 9 is inserted into the container 6.
    Type: Grant
    Filed: June 23, 1998
    Date of Patent: October 17, 2000
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Iwai
  • Patent number: 6126338
    Abstract: A resist coating-developing system includes two transporting devices each for transporting a substrate, a relay section arranged between the two transporting devices for temporarily retaining a substrate thereon, a coating unit to and from which a substrate is transferred by one of the two transporting devices, the coating unit subjecting the substrate transferred thereto to a resist coating process, and a developing unit to and from which a substrate is transferred by the other of the two transporting devices, the developing unit subjecting an exposed resist of the substrate transferred thereto to a developing process, wherein the coating unit and the developing unit are arranged opposite to each other with the two transporting devices and the relay section interposed therebetween.
    Type: Grant
    Filed: February 3, 1999
    Date of Patent: October 3, 2000
    Assignee: Tokyo Electron Limited
    Inventor: Masami Akimoto
  • Patent number: 6124559
    Abstract: An integrated circuit sorter automatically prevents the binning of a tested integrated circuit into a wrong container, such as a wrong tube, depending on the results of testing the integrated circuit. The automated integrated circuit sorter includes a respective switch for indicating that each output carries one of good or bad integrated circuits. A container identifier at each output identifies the type of container placed at the output. The container is determined to be for carrying good integrated circuits or bad integrated circuits. If the type of container placed at the output does not correspond to the type of integrated circuits at the output, then an integrated circuit stopper automatically blocks the admission of those integrated circuits into that container. The container identifier includes a light emitter and an optical sensor. A data processor determines whether a correct container or a wrong container is at the output.
    Type: Grant
    Filed: September 11, 1998
    Date of Patent: September 26, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Yiak Khian Heng, Zheng Zhu, Seok Hiong Tan, Chee Keong Tan, Jong Yong Foo
  • Patent number: 6120229
    Abstract: A semiconductor wafer batchloading system comprises a portable carrier for supporting and transporting wafers in a substantially particle free environment. A carrier door is movable between an open position and a closed position overlying a carrier port for sealing the interior of the carrier from the surrounding environment. The carrier is movable on a platform between withdrawn and advanced positions for delivering multiple wafers to a wafer receiving station. A plurality of spaced rack members support the wafers, each being aligned with an associated one of a plurality of spaced shelves on the wafer receiving station. The wafer receiving station may be located within a load lock defining a chamber having a substantially particle free environment and including a load lock port with a load lock door movable between a closed position overlying the load lock port and an open position spaced therefrom. A locking mechanism releasably locks the carrier door to the carrier.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: September 19, 2000
    Assignee: Brooks Automation Inc.
    Inventor: Christopher Hofmeister
  • Patent number: 6121743
    Abstract: An improvement is set forth in a robotic arm structure which includes at least two links. .theta. motion is provided about a primary axis at the proximal end portion of the proximalmost of the links. R motion proceeds radially from the primary axis whereby the distal end portion of the distalmost of the links can be moved in a radially extending straight line. At least two independent end effectors are pivotally mounted for rotation relative to the distal end portion of the distalmost link about an end effector axis which is parallel to the primary axis. The structure is improved by adding independent yaw motors for rotating the end effectors independently.
    Type: Grant
    Filed: August 4, 1998
    Date of Patent: September 19, 2000
    Assignee: Genmark Automation, Inc.
    Inventors: Genco Genov, deceased, Alexander Todorov, Lubo Kostov, Peter Petkov, Valentin Totev, Eugene Bonev, Zlatko Sotirov
  • Patent number: 6116841
    Abstract: A substrate transferring apparatus comprising a substrate support member for supporting a substrate, a base member for holding the substrate support member to be movable horizontally, a lifting member, having one end and another end, for holding the base member at the one end to be rotatable in a horizontal plane, the lifting member being movable upward and downward, and a hold-and-guide member for holding the lifting member at the another end and for guiding the lifting member when the lifting member is moved upward and downward.
    Type: Grant
    Filed: July 24, 1998
    Date of Patent: September 12, 2000
    Assignee: Tokyo Electron Limited
    Inventor: Tatsuya Iwasaki
  • Patent number: 6113694
    Abstract: Apparatus for coating a surface of a semiconductor wafer includes at least one treatment module, a handling device that may access each of the treatment modules, and a host controller connected to the handling device and to each of the treatment modules. The treatment modules may include a coating assembly for coating the semiconductor wafer surface and may also include at least one thermal conditioning module. The host controller may control the handling device to move a semiconductor wafer relative to the treatment modules. The treatment modules may be disposed within opposing assemblies and may be removed from the assemblies without disabling the treatment modules remaining within the opposing assemblies.
    Type: Grant
    Filed: July 13, 1998
    Date of Patent: September 5, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Shawn D. Davis
  • Patent number: 6111637
    Abstract: A method and an apparatus for examining wafers includes a wafer cassette having a capacity for holding a plurality of wafers located on each of first and second locaters. The wafer cassettes are fixedly held on the first and second locaters during the wafer examination. A first indicator shows that the wafer cassettes are fixedly held on the first and second locaters. A robot arm sequentially carries each of the wafers between the first locator, an aligner, a scanning chamber and the second locater to examine the wafers. The wafer cassettes are released when the examination is complete, and a second indicator shows that the examination is complete.
    Type: Grant
    Filed: December 18, 1996
    Date of Patent: August 29, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Ho Lee, Sang-Kyu Hahm, Young-Kyu Lim, Byoung-Seol Ahn
  • Patent number: 6099598
    Abstract: Disclosed is a fabricating system including a plurality of processing apparatuses connected to each other by means of an inter-apparatus transporter, wherein one group of semiconductor wafers are processed in processing apparatuses and other group of wafers are transported to specified processing apparatuses for a time interval from (To+T) to a time To; and another group of wafers are processed and the remaining group of wafers are transported for a time interval from (To+T) to (To+2T). Since processing apparatuses can receive at least one of works from the inter-apparatus transporter for a time interval T min, the distribution of works from the transporter to processing apparatuses is completed for the time interval T min. The transporter is emptied for each time interval T min, and works are unloaded to the emptied transporter, which makes easy the scheduling, control and management of the transporting of a plurality of works in the fabricating system.
    Type: Grant
    Filed: June 29, 1998
    Date of Patent: August 8, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Natsuki Yokoyama, Yoshifumi Kawamoto, Eiichi Murakami, Fumihiko Uchida, Kenichi Mizuishi, Yoshio Kawamura
  • Patent number: 6092971
    Abstract: For removing and transporting several spaced, parallel wafers stored in a container, a gripping device is provided. The gripping device has a holding rake and several gripping heads which can be rotated with respect to the holding rake. In one swivel position, the gripping heads are moved through between the wafers and then swivelled into another swivel position. In this latter position, the gripping heads are brought to a stop against the edges of the wafers movement of the gripping device, and then the opposite edges of the wafer disks are brought to stop against the counterholder by displacement of the counterholder. All wafers contained in the container are thus simultaneously securely held and can be displaced out of the container. In order to then swivel the wafers, the holding rake which has slots for receiving the wafer edges is also stopped at the wafer edges, and the counterholder is then pushed back. The wafers are therefore securely swivelled.
    Type: Grant
    Filed: July 7, 1997
    Date of Patent: July 25, 2000
    Assignee: Staeubli AG
    Inventors: Christian Balg, Bernhard Strasser, Jakob Blattner
  • Patent number: 6092980
    Abstract: An exclusive carrier (EQMC) housing therein equipment testing wafers (EQMW) is housed in a carrier housing rack (32) of a treatment equipment. An equipment testing parameter setting section (62) is provided for setting a cycle, in which the equipment testing wafers (EQMW) are carried in a heat treating furnace (21), and the number and holding position of the equipment testing wafers on a wafer boat (23). The equipment testing wafers (EQMW) are held in dummy wafer holding regions (D) at the upper and lower end portions of the wafer boat (23) to be heat-treated in the set cycle. After the heat treatments of all the equipment testing wafers (EQMW) in the equipment testing carrier (EQMC) are completed, the wafers (EQMW) are transferred to a detecting device, and the state of the treatment equipment is grasped on the basis of the treated state.
    Type: Grant
    Filed: October 28, 1997
    Date of Patent: July 25, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Iwao Kumasaka, Koji Kubo, Makoto Suzuki, Yuji Tsunoda
  • Patent number: 6092981
    Abstract: The present invention generally provides a modular substrate cassette used to store substrates. The modular substrate cassette is designed to use different components that may be collectively assembled into different cassette configurations while substantially reducing or eliminating custom and complex fabrication costs. The modular substrate cassette may accommodate multiple substrate sizes, including 100 mm, 200 mm, and 300 mm substrates, as well as a variety of substrate shapes, including circular, square, and rectangular. In a preferred embodiment, the substrate supports use materials from standard geometries of bar stock and/or plate stock to minimize the costs of production. Also, in a preferred embodiment, the substrate cassette is designed to allow multi-directional access to substrates stored in the cassette.
    Type: Grant
    Filed: March 11, 1999
    Date of Patent: July 25, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Ken Pfeiffer, Greg Verdict
  • Patent number: 6086976
    Abstract: A semiconductor wafer comprising a single crystalline lattice suitable for use in the manufacture of integrated circuits, namely computer chips and dies, wherein a diameter of the wafer is greater than approximately 150 millimeters and wherein the wafer includes a first hole extending through the wafer. The hole is adapted to facilitate handling of the wafer without directly contacting a surface of the wafer. The wafer preferably includes a primary flat and the first hole includes a flat side having a predetermined and known orientation with respect to the primary flat of the wafer. In one embodiment, the wafer further includes a guide hole formed near the first hole such that the center-points of the first hole and the guide hole are oriented with a predetermined and known orientation with respect to the primary flat of the wafer.
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Mark C. Gilmer
  • Patent number: 6082951
    Abstract: A pod loading station and method of operation are provided for enabling the transfer and introduction of wafers into a processing system from a wafer pod. The pod loading station generally includes a movable receiving platform for supporting a wafer pod and a movable pod door receiver having a pod door latch actuating mechanism disposed thereon. The movable platform supports a wafer pod, moves the wafer pod into engagement with the pod door receiver, and then retracts to enable the door supported by the door receiver to be removed from the opening of the pod. The pod door receiver then lowers the pod door below the pod to enable access to the pod opening.
    Type: Grant
    Filed: January 23, 1998
    Date of Patent: July 4, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Eric A. Nering, Ilya Perlov, Eugene Gantvarg, Victor Belitsky
  • Patent number: 6082950
    Abstract: A front end staging method and apparatus is provided to introduce and remove a set of wafers from a vacuum processing system. The system generally comprises a support platform, one or more wafer cassette turntables disposed on the platform, a wafer handler disposed adjacent the turntables, a wafer center finding device and a filter disposed to control particles in the vicinity of the wafers. The wafer cassette turntables are rotatably mounted to the support in the preferred embodiment. The processing system may also include one or more processing chambers, where each processing chamber defines a plurality of isolated processing regions therein. The wafer center finding device may include an optical sensor system including optimal emitters aligned with optical sensors.
    Type: Grant
    Filed: November 18, 1996
    Date of Patent: July 4, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Allen Altwood, Kelly Colborne, Kevin Fairbairn, Christopher Lane, Hari K. Ponnekanti, Satish Sundar
  • Patent number: 6077026
    Abstract: A programmable substrate support including a first substrate support that mates with a second substrate support to enable automatic switching between different types of substrates. The first substrate support is configured to support a first type of substrate and to mount to a substrate positioning system to enable handling of substrates of the first type. The second substrate support is configured to support a second type of substrate, and is further configured to mate with the first substrate support to form a mated configuration. The mated configuration enables the substrate positioning system to handle substrates of the second type. In a semiconductor wafer processing embodiment, the first substrate support is an end effector capable of handling wafers of a first size, such as 200 millimeter (mm) wafers, and the second substrate support is another end effector capable of handling wafers of a second size, such as 300 mm wafers. Of course, any size and type of substrate is contemplated.
    Type: Grant
    Filed: March 30, 1998
    Date of Patent: June 20, 2000
    Assignee: Progressive System Technologies, Inc.
    Inventor: Richard E. Shultz
  • Patent number: 6074515
    Abstract: In a substrate processing apparatus receiving substrates held in a common carrier in a horizontal attitude, the substrates are transferred in the horizontal attitude from the common carrier to an exclusive carrier. The exclusive carrier is rotatable on a horizontal axis. By rotating the exclusive carrier, the substrates are turned from the horizontal attitude to a vertical attitude. Then, the substrates held in the vertical attitude are taken out of the exclusive carrier and transferred to a processing part for processing in the vertical attitude. This allows a simple and speedy turn of the plurality of substrates. Further, even the apparatus for processing the substrates in a vertical attitude can transfer the substrates into and out of the apparatus in the horizontal attitude by using the common carrier.
    Type: Grant
    Filed: March 20, 1998
    Date of Patent: June 13, 2000
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Izuru Iseki, Seiichiro Sato, Yusuke Muraoka
  • Patent number: 6071059
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by using of an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: January 5, 1998
    Date of Patent: June 6, 2000
    Assignee: Brooks Automation GmbH
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6071055
    Abstract: The present invention provides a wafer process system that incorporates a multiple wafer processing system, such as a tandem wafer processing system, and a front end staging apparatus. The front end staging apparatus includes a first transfer chamber in communication with a pair of loadlocks for introducing wafers into the system. A robot within the first transfer chamber moves individual wafers between the loadlocks, optional single wafer process chambers radially disposed about and in communication with the first transfer chamber, and a pair of intermediate staging chambers. The intermediate staging chambers communicate with both the first transfer chamber and a second transfer chamber of the tandem process system. A robot within the second transfer chamber moves the wafers, in tandem, between the intermediate staging chambers and tandem process chambers disposed about the periphery and communicating with the second transfer chamber.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: June 6, 2000
    Assignee: Applied Materials, Inc.
    Inventor: Avi Tepman
  • Patent number: 6068668
    Abstract: A method for forming a semiconductor device in a semiconductor device manufacturing apparatus (20) having a sensor (30) activated extensible shuttle (28). In a fabrication environment shuttle (28) is housed within semiconductor device manufacturing apparatus (20), where an outer door (32) is closed flush with an outer wall of the apparatus (20). As a substrate carrier (38) is moved near the apparatus (20), sensor (30) activates opening of outer door (32) and extension of shuttle (28) out of the apparatus (20) into the fabrication environment. In one embodiment, shuttle (28) has a sensor which is used to determine if carrier (38) is placed on shuttle (28) within a predetermined time, allowing retraction of shuttle (28) until it is required. The present invention increases the available operative space within the fabrication environment, and provides a clean mini-environment within apparatus (20).
    Type: Grant
    Filed: March 31, 1997
    Date of Patent: May 30, 2000
    Assignee: Motorola, Inc.
    Inventor: Sal Mastroianni
  • Patent number: 6066210
    Abstract: A substrate processing apparatus comprises a substrate transfer chamber; a substrate processing chamber disposed on a first side wall of the substrate transfer chamber; an intermediate substrate holding chamber disposed on a second side wall of the substrate transfer chamber; a first substrate holder disposed within the intermediate substrate holding chamber; a second substrate holder disposed within the substrate processing chamber; a first substrate transfer robot, disposed within the substrate transfer chamber, for transferring the substrate between the substrate processing chamber and the intermediate substrate holding chamber; a first gate valve disposed between the substrate processing chamber and the substrate transfer chamber; a second gate valve disposed between the substrate transfer chamber and the intermediate substrate holding chamber; an atmospheric pressure section located opposite to the substrate transfer chamber with respect to the intermediate substrate holding chamber; a third valve dispos
    Type: Grant
    Filed: August 5, 1996
    Date of Patent: May 23, 2000
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Shuji Yonemitsu, Toshikazu Karino, Hisashi Yoshida, Shinichiro Watahiki, Yuji Yoshida, Hideo Shimura, Takeshi Sugimoto, Yukinori Aburatani, Kazuhito Ikeda
  • Patent number: 6060721
    Abstract: A mechanical indicator assembly mounted on a cassette stage in a semiconductor processing system is mechanically actuated when a wafer carrying cassette is correctly positioned onto the stage. The indicator assembly includes a pin engaged by the cassette which moves a lever mounted reflector to a position indicating that the cassette is correctly positioned. An electro-optical assembly disposed entirely outside a housing surrounding the stage, directs a light beam toward the reflector, and senses reflected light indicative of correct positioning of the cassette on the stage.
    Type: Grant
    Filed: May 6, 1998
    Date of Patent: May 9, 2000
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Yu Chih Huang
  • Patent number: 6053686
    Abstract: There is provided a load-lock device which allows high productivity and occupies a small space. There is provided a load-lock device which stands by with objects to be processed loaded thereon in order to cooperate with a transport mechanism in transporting the objects to be processed to processing chambers in order. The load-lock device according to the present invention comprises chamber means, wafer holding means for loading a plurality of the objects to be processed which is movable up and down in the chamber and flange means provided on a side of the wafer holding means which is engaged with a part of an inner wall of the chamber to divide the space inside the chamber into two isolated rooms. The transport mechanism is connected to a side of the chamber means substantially in the center thereof, and the flange means is coupled to the side of the wafer holding means substantially in the center thereof.
    Type: Grant
    Filed: February 9, 1999
    Date of Patent: April 25, 2000
    Assignee: ASM Japan K.K.
    Inventor: Mitsusuke Kyogoku
  • Patent number: 6053694
    Abstract: A method and device for handling fabrication articles in an electronics application includes a pickup head with an array of article-holding slots aligned to receive the articles and includes a drive mechanism for tilting the pickup head. Cantilevered support members, such as support rods, have first ends attached to the pickup head and are arranged to form article-receiving regions that are generally aligned with the article-holding slots. In the preferred embodiment, the article-holding slots have a geometry for supporting the fabrication articles when the support members are in an upright condition, i.e. when the articles are vertically oriented. Also in the preferred embodiment, the device includes stops that prevent the articles from entering the slots when the support members are in a horizontal condition. The stops provide a controlled exit and entrance of the articles from and into the slots as the pickup head is tilted, thereby reducing the risk of breakage.
    Type: Grant
    Filed: June 26, 1998
    Date of Patent: April 25, 2000
    Assignee: H-Square Corporation
    Inventor: Charles T. Dill
  • Patent number: 6053689
    Abstract: A guided vacuum pick-up device for picking up wafers in a wafer storage cassette and a method for using such device. In the device, a vacuum pick-up head is equipped with a guide member which intimately engages a guide bar molded on a wafer storage cassette such that the movement of the vacuum pick-up head can be precisely indexed to the spacing between the adjacent wafers by engaging a guide pin on the guide member to one of a number of positioning grooves provided circumferentially on the guide bar. The device can be used for picking up or putting back wafers in a wafer storage cassette without the danger of scratching or breaking the wafers by accidentally colliding with the wafers.
    Type: Grant
    Filed: June 1, 1999
    Date of Patent: April 25, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventor: Meng Chun Li
  • Patent number: 6053980
    Abstract: A substrate processing apparatus comprises a substrate transfer section, connection modules attached to the substrate transfer section, and a first substrate transfer robot in the substrate transfer section capable of transferring substrates to the connection modules. The connection module comprises a substrate processing chamber, first and second intermediate chambers between the substrate processing chamber and the substrate transfer section. The second intermediate chamber is provided with a first substrate holder, the substrate processing chamber is provided with a second substrate holder, and the first intermediate chamber is provided with a substrate transfer device capable of mounting a plurality of the substrates held being stacked in the vertical direction by the first substrate holder, onto the second substrate holder such that the substrates are arranged side by side in the horizontal direction.
    Type: Grant
    Filed: September 4, 1997
    Date of Patent: April 25, 2000
    Assignee: Kokusai Electric Co., Ltd.
    Inventors: Atsuhiko Suda, Kazuyuki Toyoda, Issei Makiguchi, Makoto Ozawa
  • Patent number: 6053688
    Abstract: A wafer handling apparatus and method includes a wafer carrier station for supporting a wafer carrier, such as an enclosed pod, that holds one or more wafers. A grounded interface panel is provided between the carrier station and a clean testing or processing environment. A z-movement mechanism moves the carrier station and the wafer carrier in a z-direction. A door opening mechanism removes a door from said carrier through a door opening in the interface panel. A handler mechanism includes a wafer holding device, such as a flat end effector, that moves into the wafer carrier at a separate access opening to load or unload a wafer to or from the wafer carrier. Wafer carriers holding different amounts of wafers can be used with no major structural changes to the apparatus.
    Type: Grant
    Filed: August 25, 1997
    Date of Patent: April 25, 2000
    Inventor: David Cheng
  • Patent number: 6050389
    Abstract: A carrier apparatus is provided with a first carrier mechanism, a second carrier mechanism, and a sensor. The first carrier mechanism has a holding portion holding a substrate, a driving pulley, an idler pulley, and a first endless belt, the first endless belt being provided between the driving pulley and the idler pulley and the holding portion being attached to the first endless belt. The second carrier mechanism has a driving source with a rotation output shaft, a speed reducing pulley fixed on the driving pulley and more than one second endless belts, the above more than one second endless belts being provided between the rotation output shaft and the speed reducing pulley. A sensor detects the state of the second endless belt, for example, the occurrence of cutting. As a result, even if the state of a carrier belt deteriorates, the holding portion is prevented from falling.
    Type: Grant
    Filed: July 20, 1998
    Date of Patent: April 18, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Naruaki Iida, Seiji Kozawa
  • Patent number: 6051066
    Abstract: This invention relates to apparatus for, and methods of, providing controlled depositions on substrates. The substrates are particularly adapted to provide die for use as the spacers in magnetic heads to dispose the magnetic heads in almost abutting relationship to a memory medium such as a disc and to protect the heads against damage by the disc if the disc should contact the heads while the disc is rotating at a high speed.This invention is particularly concerned with an end effector apparatus disposed in a transport module between a cassette module on one side of the transport module and a process module on the other side of the transport module. The end effector apparatus provides a controlled transfer of substrates between a cassette holder in the cassette module and apparatus disposed in the process module for producing a controlled deposition on the substrate.
    Type: Grant
    Filed: April 14, 1998
    Date of Patent: April 18, 2000
    Assignee: Sputtered Films, Inc.
    Inventor: Robert George Begin
  • Patent number: 6048655
    Abstract: An apparatus for carrying a substrate having a substrate storage part for storing substrate casings that encase substrates, and a carrier system for carrying the substrate to a substrate stage from the substrate storage part. The apparatus a first carrier device, a temporary storage part and a second carrier device. The first carrier device carries the substrate to a first substrate carry position from the substrate storage part. The temporary storage unit incorporates a holding mechanism for individually adsorptively holding the substrate by vacuum in a predetermined state and is provided between the first substrate carry position and the substrate stage. The second carrier device is capable of carrying the substrate to the substrate stage from the first carry position and also carrying the substrate between the temporary storage unit and the substrate stage.
    Type: Grant
    Filed: December 3, 1997
    Date of Patent: April 11, 2000
    Assignee: Nikon Corporation
    Inventor: Kanefumi Nakahara
  • Patent number: 6048154
    Abstract: A dual stage load lock is provided for transfer of semiconductor wafers between an environment at atmospheric pressure and a high vacuum environment, such as a wafer processing system. The dual stage load lock includes a first load lock chamber and a second load lock chamber separated by a dividing ledge which extends a distance inwardly from the inner wall of the load lock assembly. The lower load lock chamber selectively communicates with a transfer chamber of the processing system, and is maintained at high vacuum. The upper load lock chamber selectively communicates with the external environment at atmospheric pressure. Therefore, the environment of the upper load lock chamber may vary between atmospheric pressure, when wafers are transferred between the load lock and the outside environment, and high vacuum, when the wafers are transferred between the first and second chambers of the load lock. The load lock may include modular chamber segments that can be arranged in a variety of configurations.
    Type: Grant
    Filed: October 2, 1996
    Date of Patent: April 11, 2000
    Assignee: Applied Materials, Inc.
    Inventor: Joe Wytman
  • Patent number: 6042324
    Abstract: Two FOUPs are stacked and moved together towards an equipment wall by a horizontal actuator. FOUP doors are withdrawn as a unit by a horizontal actuator, and lowered as a unit by a vertical actuator to provide access to the interior of the FOUPs.
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: March 28, 2000
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Ronald R. Stevens
  • Patent number: 6036781
    Abstract: An air current guiding apparatus includes a plurality of dampers installed on a filter unit on an inner wall of air supply unit for blowing clean air over wafers loaded in a boat for transfer to a reaction chamber for chemical vapor deposition. Each of the dampers has a certain length and angular orientation to force the air in a designated direction so that the air current in a wafer loading chamber maintains an appropriate velocity and is free from air turbulence, thereby minimizing the number of contaminating particles in the wafer loading chamber.
    Type: Grant
    Filed: March 19, 1997
    Date of Patent: March 14, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yo-han Ahn, Jin-chul Yoon, Chang-jip Yang, Ho-wang Kim
  • Patent number: 6037733
    Abstract: An improvement is set forth in a robotic arm structure which includes at least two links. .theta. motion is provided about a primary axis at the proximal end portion of the proximalmost of the links. R motion proceeds radially from the primary axis whereby the distal end portion of the distalmost of the links can be moved in a radially extending straight line. An end effector is pivotally mounted for rotation relative to the distal end portion of the distalmost link about an end effector axis which is parallel to the primary axis. The structure is improved by adding one or more a yaw motor, a roll motor and a pitch motor for rotating the wrist of the arm about the respective axes. A sensor array senses the R, .theta., Z and yaw, roll and/or pitch motions and creates and transmits electronic signals representative thereof to a computer controller which monitors and controls the R, .theta., Z and yaw, roll and/or pitch motions.
    Type: Grant
    Filed: May 15, 1998
    Date of Patent: March 14, 2000
    Assignee: Genmark Automation
    Inventors: Genco Genov, Alexander Todorov, Lubo Kostov, Peter Petkov, Valentin Totev, Eugene Bonev, Zlatko Sotirov
  • Patent number: 6033521
    Abstract: A tilt mechanism for periodically tilting a cassette configured to hold a plurality of wafers or workpieces such that the wafers or workpieces become gravity-loaded against a rear portion of the cassette. The tilt mechanism is mounted entirely above a worktable of a CMP or other processing machine and comprises a housing which houses a circular cam having a spiral groove formed therein. A tilt arm is pivotally mounted to the housing and extends vertically between a lower end which is adjacent the cam and an upper end which is fixed to a platform supporting a cassette holding a plurality of workpieces. A cam follower is attached to the lower end of the arm and projects into the groove. Rotary motion of the cam effects pivotal movement of the tilt arm which, in turn, effects tilting of the support platform and the cassette.
    Type: Grant
    Filed: June 4, 1997
    Date of Patent: March 7, 2000
    Assignee: SpeedFam-IPEC Corporation
    Inventors: Robert F. Allen, Ricardo T. Jordan
  • Patent number: 6027301
    Abstract: A semiconductor wafer testing apparatus has a work table on which a carrier containing semiconductor wafers to be checked is placed. The work table is equipped for combined wafer alignment and wafer code recognition while the wafers remain in their carrier in one position on the work table. The alignment is accomplished with a wafer flat zone aligner which has a pair of roller pins each coming in contact with circumferences of the wafers being stacked in the carrier through an open lower part of the carrier and an opening in the table. The wafer code recognition is accomplished with an optical character recognizer that moves up and down and forward and backward with respect to the carrier, and interposes between the wafers in the carrier so as to read out codes which are on each wafer. This combined automated work station helps prevent contamination of the wafers by an operator or by unnecessary handling of the wafers, and also reduces cycle time for the entire inspection process.
    Type: Grant
    Filed: September 26, 1997
    Date of Patent: February 22, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yu Kweon Kim, Dong Ho Kim
  • Patent number: 6013920
    Abstract: A load port interface (20) receives, opens and closes a wafer carrier (22). A bulkhead (24) carries a changeable mating plate (92) that is pierced by a window (94). Changing the mating plate (92) adapts the load port interface (20) for use with different sizes of carriers(22). A table (32) of the load port interface (20) receives the carrier (22), advances the carrier (22) until it abuts and seals to the bulkhead (24). An end-effector (42), located on an opposite side of the bulkhead (24) from the carrier (22), actuates latch keys (54) to unlatch a door (48) from the carrier (22). The load port interface (20) then moves the unlatched door (48) rectilinearly, first horizontally away from the bulkhead (24) and then vertically downward, to expose semiconductor wafers (48) present within the carrier (22). The end-effector (42) includes sensors (86, 104) for collecting data about wafers (82) within the carrier (22) by detecting edges (118) thereof.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: January 11, 2000
    Assignee: Fortrend Engineering Coirporation
    Inventors: Jeffrey M. Gordon, Cyril M. Kindt, Kenneth A. Hardy, Steven A. Bumgardner, William E. Wegener, Eric Meyhofer
  • Patent number: 6007675
    Abstract: An apparatus and method are described for stripping the photoresist from a wafer while in a substantially parallel manner, another wafer is being transferred between a load lock chamber and a transfer chamber, where the processing occurs. Further, a system is described whereby two load lock chambers are employed so that processing of wafers can continue uninterrupted by a delay caused by the need to open, empty, reload and re-equilibrate a single load lock chamber. Still further, a system is described for performing multi-step dry-stripping applications requiring different conditions for two or more of the steps wherein the steps may be performed simultaneously or sequentially. Finally, a system combining a dry-stripping module and a wet-cleaning module is described which combination system permits the continuous, fully-automated dry-stripping and wet-cleaning of wafers and, upon completion of the entire processing cycle, returning wafers to their original wafer cassettes.
    Type: Grant
    Filed: December 1, 1997
    Date of Patent: December 28, 1999
    Assignee: Gamma Precision Technology, Inc.
    Inventor: Masato Toshima
  • Patent number: 5993081
    Abstract: An in-line processing system having an exposure processing unit and a coating and development processing unit is provided with a conveyance arm for transferring a workpiece between these units. The conveyance arm can access to each of these units, and these units have horizontal workpiece holding planes of substantially the same level. The workpiece can be conveyed between these units while being kept laid horizontally.
    Type: Grant
    Filed: October 18, 1996
    Date of Patent: November 30, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroyuki Itoh, Shinji Tsutsui, Masahide Sato
  • Patent number: 5984607
    Abstract: The present invention provides a transfer apparatus and a transfer method capable of reducing the time required for transferring a plurality of to-be-transferred objects, and a treatment apparatus and a treatment method capable of increasing the throughput. The transfer apparatus, wherein the objects are transferred from a first support unit supporting the objects to a second support unit capable of supporting the objects, comprising load/unload means for unloading the objects one by one from the first support unit in a first position in which the objects can be carried out of the first support unit, and loading the objects into the second support unit one by one in a second position in which the objects can be carried into the second support unit, a third support unit for permitting loading and unloading of the objects by the load/unload means, the third support unit being capable of supporting the objects, and transfer means for moving the load/unload means from the first position to the second position.
    Type: Grant
    Filed: July 3, 1997
    Date of Patent: November 16, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Tetsu Oosawa, Harunori Ushikawa
  • Patent number: 5980186
    Abstract: A semiconductor wafer carrier fork cover to prevent damage to prevent breakage of the semiconductor wafer carrier forks mounted on a robotic semiconductor wafer transfer system during preventative maintenance procedures is described. A semiconductor wafer carrier fork cover has a lower support unit. The lower support unit will fit onto the base of a robotic semiconductor wafer transfer system. An upper covering unit is integrally attached to the lower support unit and will shield the semiconductor wafer carrier forks during preventive maintenance procedures.
    Type: Grant
    Filed: September 27, 1996
    Date of Patent: November 9, 1999
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventor: Wei Hua Cheng
  • Patent number: 5975740
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: May 28, 1996
    Date of Patent: November 2, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 5976199
    Abstract: A manufacturing system for individually processing semiconductor wafers through a plurality of processing stations. The system has a plurality of processing stations, a multilevel track system that interfaces with the processing stations, and guided transport vehicles that operate on the track system to move individual wafers in wafer carriers between the stations. The carriers have a storage memory that contains the required process sequence and the capability to remember the completed process steps.
    Type: Grant
    Filed: February 14, 1997
    Date of Patent: November 2, 1999
    Assignee: United Microelectronics Corp.
    Inventors: Hong-Jen Wu, Taylor Chen, Jack Lai, I. I. Chen
  • Patent number: 5975836
    Abstract: The apparatus has a substantially planar base having a surface which defines a wafer cassette receiving station and a wafer receiving station. A transportable wafer cassette is removably coupled to the base in the wafer cassette receiving station. The transportable wafer cassette is provided for holding a plurality of wafers in an axially aligned manner, each of the wafers having identification indicia disposed on a surface thereof. A wafer receiving cassette is coupled to the base adjacent to the transportable wafer cassette in the wafer receiving station. A first reciprocally moveable wafer transfer member is attached to the base, and is operative for transferring the wafers held in the transportable wafer cassette to the wafer receiving cassette.
    Type: Grant
    Filed: March 26, 1997
    Date of Patent: November 2, 1999
    Assignee: Lucent Technologies Inc.
    Inventor: Jose Omar Rodriguez
  • Patent number: 5972110
    Abstract: A resist processing system includes a plurality of processing units each having a plurality of compartments stacked one upon the other in a vertical direction, a solution processing device arranged in a compartment positioned in a lower portion of the processing unit for applying a process solution to a substrate W while rotating the substrate, a heating device arranged in a compartment positioned in an upper portion of the processing unit for heating the substrate, a cooling device arranged in an intermediate compartment positioned between the compartment having the heating device arranged therein and the compartment having the solution processing device arranged therein for cooling the substrate, and a main arm mechanism arranged in each of the processing units and provided with a plurality of holders for transferring the substrate W into and out of each compartment, the holder being movable into and out of each of the compartments included in the processing unit, movable in a Z-axis direction, and swingabl
    Type: Grant
    Filed: September 2, 1997
    Date of Patent: October 26, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Masami Akimoto
  • Patent number: 5967159
    Abstract: According to the present invention, there is provided a substrate conveying apparatus for loading/unloading a substrate to/from a processing section, including an arm for holding the substrate on an upper surface thereof, an arm drive mechanism for driving the arm such as to load/unload the substrate to/from the processing section, first and second suction members each having an opening communicating to a suction hole of the suction passage, for supporting the substrate by at least an edge portion of the opening, and a switching mechanism for selectively switching a mode between the support of the substrate by the first suction member and that by the second suction member.
    Type: Grant
    Filed: May 12, 1998
    Date of Patent: October 19, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Kiyohisa Tateyama
  • Patent number: 5964564
    Abstract: An apparatus for displacing and detecting cross-slotted objects includes a cradle mounted to a frame, the cradle being sized and shaped to hold a tray of objects in slots. As the cradle rotates on the frame, a displacing assembly is deployed at the rear of the tray with projections that contact cross-slotted objects and urge the cross-slotted objects to move at least partially out of the tray. Suitably positioned sensors detect the displaced cross-slotted objects for notification to a controller. A method for detecting cross-slotted objects includes first displacing the cross-slotted objects, and second, detecting the displaced cross-slotted objects.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: October 12, 1999
    Assignee: B-W Controls, Inc.
    Inventors: Miles T. Hanon, David M. Kent
  • Patent number: 5954472
    Abstract: The invention resides in an improved transport mechanism which utilizes a linkage having a plurality of end effectors disposed at one end thereof. Movement of a drive linkage in a first rotational direction causes opposite rotation at the end effectors so that the center of the articles carried by the end effectors are moved in a straight line path as between first and second locations.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: September 21, 1999
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher A. Hofmeister, Christopher C. Kiley