Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 8099190
    Abstract: A method and an apparatus for transferring a substantially flat and substantially circular objects, such as wafers, from a pick-up position to a delivery position, the apparatus comprising, a manipulator, at least one source for emitting a source signal, at least one sensor for sensing said source signal and for providing a sensor signal, a computing device arranged for processing at least one sensor signal to obtain data on the position of said object, the manipulator being arranged for simultaneously transferring a first and a second object along a path in a substantially parallel orientation, spaced apart from each other, and substantially co-axially whereby the central axis of each object may be displaced radially, a said source and a said sensor are connected by a virtual line, whereby the virtual line includes an angle with the central axes of the first and second objects.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: January 17, 2012
    Assignee: ASM International N.V.
    Inventors: Christianus Gerardus Maria De Ridder, Theodorus Gerardus Maria Oosterlaken
  • Patent number: 8092139
    Abstract: There are comprised a load chamber (51) for carrying in a wafer from outside, an unload chamber (53) for carrying out a wafer to outside, and a plurality of conveyance chambers (54a, 54b, 54c) and a plurality of process modules (52a, 52b) connected in series between the load chamber and the unload chamber. The conveyance chambers and the process modules are connected alternately and the plurality of conveyance chambers includes a first end conveyance chamber (54a) connected to the load chamber, a second end conveyance chamber (54c) connected to the unload chamber, and another one or a plurality of intermediate conveyance chambers (54b).
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: January 10, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Einstein Noel Abarra, David Djulianto Djayaprawira, Yasumi Kurematsu
  • Patent number: 8083463
    Abstract: A mini clean room for preventing wafer pollution includes a robot arm, a clean room body slidably disposed on the robot arm and at least one lock unit which is rotatably connected with the clean room body. During operation, the robot arm extends out of the clean room body to carry a wafer waiting to be processed, and then moves back into the clean room body which can provide an isolated and protected space for the wafer to avoid that the wafer is polluted. The present invention also discloses a method of using a mini clean room for preventing wafer pollution.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: December 27, 2011
    Assignee: Inotera Memories, Inc.
    Inventors: Jhin-Siang Jhong, Jen Jui Cheng, Chen Lung Huang
  • Patent number: 8061949
    Abstract: Embodiments of the invention include a load lock chamber, a processing system having a load lock chamber and a method for transferring substrates between atmospheric and vacuum environments. In one embodiment, the method includes maintaining a processed substrate within a transfer cavity formed in a chamber body for two venting cycles. In another embodiment, the method includes transferring a substrate from a transfer cavity to a heating cavity formed in the chamber body, and heating the substrate in the heating cavity. In another embodiment, a load lock chamber includes a chamber body having substrate support disposed in a transfer cavity. The substrate support is movable between a first elevation and a second elevation. A plurality of grooves are formed in at least one of a ceiling or floor of the transfer cavity and configured to receive at least a portion of the substrate support when located in the second elevation.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: November 22, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Suhail Anwar, Jae-Chull Lee
  • Patent number: 8057151
    Abstract: The FOUP door positioning device of a FOUP opener is compatible with all kinds of FOUPs, is inexpensive, and has a simple positioning-ping adjustment mechanism. In a state in which a flange portion (a portion having a taper 13) of a proximal end portion of a positioning pin 10 is seated in a recess portion 31 of a port door 30, and an air suction bore 14 of the positioning pin 10 and an air suction bore 32 of the port door 30 are aligned with each other, a pin insertion hole 23 of a suction pad 20 is fitted to a straight portion 11 of the positioning pin 10, and the suction pad 20 is screwed to the port door 30. By this procedure, the suction pad 20 is attached to the outer surface of the port door 30, and a portion of the suction pad 20 which is sunk into a recess portion 31 presses the flange portion of the proximal end portion of the positioning pin 10. A reinforcing metal plate 25 is embedded in a base portion 21 of the suction pad 20.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: November 15, 2011
    Assignee: Hirata Corporation
    Inventors: Sinji Yokoyama, Youichi Matsushita
  • Patent number: 8029226
    Abstract: Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: October 4, 2011
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8029227
    Abstract: In a load port apparatus, a clearance is formed between an inner circumferential surface of an opening of the chamber and an outer circumferential surface of a door. The area of the clearance between the plate and the opening portion on a plane flush with an interior side of the chamber is larger than the area of the clearance between the plate and the opening portion on a plane flush with the exterior of the chamber. A fan located at an upper side of the chamber generates a first flow of air in the downward direction. The clearance generates a second flow of air from the interior of the chamber to the exterior of the chamber. The second flow of air is generated by the difference in the area of the interior cross section of the clearance and area of the exterior cross section of the clearance.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: October 4, 2011
    Assignee: TDK Corporation
    Inventors: Hitoshi Suzuki, Toshihiko Miyajima
  • Patent number: 8021486
    Abstract: Capping protective self aligned buffer (PSAB) layers are layers of material that are selectively formed at the surface of metal layers in a partially fabricated semiconductor device. Encapsulating PSAB layers are formed not only at the surface of the metal layers, but also within the unexposed portions of the metal lines. Encapsulating PSAB layer, for example, can surround the metal line with the PSAB material, thereby protecting interfaces between the metal line and diffusion barriers. Encapsulating PSAB layers can be formed by treating the exposed surfaces of metal lines with GeH4. Capping PSAB layers can be formed by treating the exposed surfaces of metal lines with SiH4. Interconnects having both a silicon-containing capping PSAB layer and a germanium-containing encapsulating PSAB layer provide good performance in terms of adhesion, resistance shift, and electromigration characteristics.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: September 20, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Yongsik Yu, Mandyam Sriram, Roey Shaviv, Kaushik Chattopadhyay, Hui-Jung Wu
  • Patent number: 8007218
    Abstract: The present invention is related to a method for transferring substrates. The method comprise simultaneously transferring two substrates, by means of a transfer unit, between first support plates disposed to be vertically spaced apart from each other and second support plates arranged abreast in a lateral direction. The transfer unit comprises a top blade and a bottom blade converted to a folded state where they are vertically disposed to face each other and an unfolded state where they rotate at a preset angle in opposite directions. The transfer unit place/take a substrate on/out of the first support plates under the folded state and place/take a substrate on/out of the second support plates under the unfolded state.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: August 30, 2011
    Assignee: PSK Inc.
    Inventors: Dong-Seok Park, Sang-Ho Seol
  • Patent number: 8007644
    Abstract: An inclined carrier transferring apparatus for use inline sputtering equipment. The inclined carrier transferring apparatus includes rollers and a guiding portion. The rollers transfer and support the bottom of a carrier. The guiding portion is installed diagonally with respect to the rollers, to support the top of the carrier in a non-contact manner.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: August 30, 2011
    Assignee: LG Display Co., Ltd.
    Inventors: Sung Eun Kim, Tae Hyun Lim, Kwang Jong Yoo, Byung Han Yun, Yang Sik Moon
  • Patent number: 7993458
    Abstract: A gas exhaust unit evacuates the inside of a vacuum transfer chamber at a constant exhaust rate. An gas exhaust valve is kept normally open, and a purge gas (N2 gas) is supplied from a purge gas supply source into the vacuum transfer chamber via a mass flow controller (MFC) and an opening/closing valve. A main control unit controls a pressure in the vacuum transfer chamber to be within a specified range through a flow rate set value for the MFC while monitoring a pressure in the vacuum transfer chamber via a vacuum gauge. The main control unit determines occurrence of abnormality when the pressure exceeds a specified upper limit and then takes such actions as changing a flow rate set value for the MFC, giving an alarm and stopping the operation of a vacuum processing apparatus.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: August 9, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Kondo, Teruyuki Hayashi, Misako Saito
  • Patent number: 7988398
    Abstract: Substrate processing apparatus having a chamber, a generally linear array of process modules, a substrate transport, and a drive system. The chamber is capable of being isolated from the outside atmosphere. Each process module of the array is communicably connected to the chamber to allow a substrate to be transferred between the chamber and process module. The substrate transport is located in and is movably supported from the chamber. The transport is capable of moving along a linear path defined by the chamber for transporting the substrate between process modules. The drive system is connected to the chamber for driving and moving the transport along the linear path. The chamber comprises a selectable number of chamber modules serially abutted to defined the chamber. Each module has an integral portion of the drive system.
    Type: Grant
    Filed: October 9, 2004
    Date of Patent: August 2, 2011
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 7988399
    Abstract: In a system having a number of semiconductor processing modules sharing a common vacuum environment, a mid-entry load lock is provided to permit insertion and removal of wafers into the vacuum environment at a point between various other robotic handlers, process modules, and load locks. This arrangement permits increased flexibility in scheduling when multiple wafers are processed concurrently.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: August 2, 2011
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 7980255
    Abstract: In a first aspect, a module is provided that is adapted to process a wafer. The module includes a processing portion having one or more features such as (1) a rotatable wafer support for rotating an input wafer from a first orientation wherein the wafer is in line with a load port to a second orientation wherein the wafer is in line with an unload port; (2) a catcher adapted to contact and travel passively with a wafer as it is unloaded from the processing portion; (3) an enclosed output portion adapted to create a laminar air flow from one side thereof to the other; (4) an output portion having a plurality of wafer receivers; (5) submerged fluidnozzles; and/or (6) drying gas flow deflectors, etc. Other aspects include methods of wafer processing.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: July 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Younes Achkire, Alexander Lerner, Boris T. Govzman, Boris Fishkin, Michael Sugarman, Rashid Mavleiv, Haoquan Fang, Shijian Li, Guy Shirazi, Jianshe Tang
  • Patent number: 7980000
    Abstract: Embodiments of the present invention generally relate to an apparatus and methods for rinsing and drying substrates. One embodiment provides an end effector comprising a body having a contact tip for contacting an edge area of a substrate, wherein the end effector is configured to support the substrate while the substrate is in a rinsing bath and while the substrate is being dried from the rinsing bath, and the contact tip comprises a hydrophilic material.
    Type: Grant
    Filed: October 21, 2008
    Date of Patent: July 19, 2011
    Assignee: Applied Materials, Inc.
    Inventors: John S. Lewis, Michael Biese, Garrett H. Sin, Chidambara A. Ramalingam, Balaji Chandrasekaran, Tak Fan (Kerry) Ling
  • Patent number: 7959395
    Abstract: Substrate processing apparatus having a transport chamber, a linear array of substrate holding modules alongside the transport chamber, and a substrate transport located in the chamber. The chamber can hold an isolated atmosphere, and defines more than one substantially linear transport paths extending longitudinally along the transport chamber. The transport in the chamber is capable of transporting the substrate along the linear transport paths. The transport has a transporter capable of holding and moving the substrate. The transporter interfaces a wall of the transport chamber for moving along at least one of linear paths. The transport chamber has interfaces for mating with other substrate holding modules at opposite ends of the transport chamber. Each interface has an opening through which at least one of the more than one linear transport paths extends, and the transport chamber has a selectably variable longitudinal length between the interfaces.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: June 14, 2011
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 7905960
    Abstract: An apparatus for manufacturing a substrate includes: a transferring chamber extended along a long direction; at least one process chamber connected to the transferring chamber along the long direction; at least one load-lock chamber connected to the transferring chamber at least one side of the transferring chamber; and a transferring chamber robot moving along the long direction in the transferring chamber and transferring a substrate.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 15, 2011
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Jae-Wook Choi, Young-Rok Kim
  • Patent number: 7892947
    Abstract: A workpiece machining method includes attaching a workpiece to a workpiece support with the aid of joining means. The workpiece and the workpiece support are joined to one another by an annular joining means. The composite produced is machined. The machined workpiece is separated from the workpiece support.
    Type: Grant
    Filed: October 13, 2006
    Date of Patent: February 22, 2011
    Assignee: Infineon Technologies AG
    Inventors: Stephen Bradl, Walther Grommes, Werner Kröninger, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 7887280
    Abstract: There is provided a processing apparatus capable of modifying an existing processing apparatus having a single loading port to one having dual loading ports by providing an additional loading port without increasing a foot print thereof and also capable of realizing a complete automation of a wafer transfer by utilizing an existing automatic transfer line. The processing apparatus includes a loader chamber having: a first and a second loading ports positioned to be spaced apart from each other at the side of a prober chamber, each of the loading ports mounting thereon waters; a sub-chuck, disposed under the second loading port, for positioning the wafers; and a wafer transfer unit having a transfer arm for transferring the wafers between the sub-chuck and the prober chamber, the transfer arm being rotatable and movable vertically.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: February 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Hiroki Hosaka, Shuji Akiyama, Tadashi Obikane
  • Patent number: 7883582
    Abstract: A gas exhaust unit evacuates the inside of a vacuum transfer chamber at a constant exhaust rate. An gas exhaust valve is kept normally open, and a purge gas (N2 gas) is supplied from a purge gas supply source into the vacuum transfer chamber via a mass flow controller (MFC) and an opening/closing valve. A main control unit controls a pressure in the vacuum transfer chamber to be within a specified range through a flow rate set value for the MFC while monitoring a pressure in the vacuum transfer chamber via a vacuum gauge. The main control unit determines occurrence of abnormality when the pressure exceeds a specified upper limit and then takes such actions as changing a flow rate set value for the MFC, giving an alarm and stopping the operation of a vacuum processing apparatus.
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Kondo, Teruyuki Hayashi, Misako Saito
  • Patent number: 7874783
    Abstract: An apparatus for processing a work piece in a vacuum environment includes a master process chamber that can be exhausted to a sub-atmospheric air pressure or to be filled with a desirable gas, a transfer chamber configured to receive the work piece from outside of the master process chamber, one or more processing stations inside the master process chamber, a rotation plate configured to receive the work piece and to move the work piece to receive one or more processing operations, and a first transport mechanism configured to transfer the work piece from the transfer chamber on to the rotation plate. The transfer chamber is at least partially enclosed in the master process chamber and can be vacuum sealed off from the master process chamber.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: January 25, 2011
    Assignee: Ascentool, Inc.
    Inventors: George Xinsheng Guo, Kai-an Wang
  • Patent number: 7874781
    Abstract: A substrate processing apparatus includes a plurality of process chambers (20) for applying a process to substrate accommodated therein and a conveyance case (24) that conveys the accommodated substrates to the process chambers (20) and a transfer mechanism that moves the conveyance case (24) along a moving path. The conveyance case accommodates the substrates in an isolated state from an external atmosphere. The plurality of process chambers (20) are arranged in an aligned state on both sides of a moving path of the conveyance case (24). The conveyance case (24) has two conveyance ports (24a) in response to conveyance ports (20a) of the process chambers (20) arranged in alignment in two rows.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: January 25, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Takaaki Matsuoka
  • Patent number: 7874782
    Abstract: A wafer transfer apparatus is provided. In a minimum transformed state where a robot arm is transformed such that a distance defined from a pivot axis to an arm portion, which is farthest in a radial direction relative to the pivot axis, is minimum, a minimum rotation radius R, is set to exceed ½ of a length B in the forward and backward directions of an interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R?B?L0).
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: January 25, 2011
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventor: Yasuhiko Hashimoto
  • Patent number: 7857569
    Abstract: A supporting mechanism (12A) is used for transfer-ring a target substrate (W) in cooperation with a transfer arm (32), in a semiconductor processing system. The supporting mechanism includes first and second holding portions (38A to 38C, 40A to 40C) each configured to be moved up and down and transfer a substrate to and from the transfer arm. The first and second holding portions are configured to be moved relative to each other in a vertical direction without spatially interfering with each other, and support substrates at substantially the same horizontal coordinate position. The supporting mechanism further includes first and second drives (46, 48) configured to move the first and second holding portions up and down, and a controller (68) configured to control the first and second drives. The controller is arranged to control the first and second drives to alternatively support a substrate by the first and second holding portions.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: December 28, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tsutomu Hiroki, Hiroaki Saeki
  • Patent number: 7845897
    Abstract: Substrates are transported to accurate positions, while mounted on one hand of a transport robot. When substrates are to be transported from transporting chamber into processing chamber, a first mounting portion of a hand is located immediately above first processing position provided in processing chamber; and the substrate placed on first mounting portion is lifted up. Then, a second mounting portion is located immediately above second processing position by finely moving the hand. Next, the substrate on second mounting portion is lifted up. When the hand is pulled out from between the substrates and first and second processing positions and the substrates are lowered, the substrates are accurately arranged on first and second processing positions. When substrates are mounted on the hand in an order reverse to the above, the substrates in processing chamber can be accurately mounted on first and second mounting portions and be carried out.
    Type: Grant
    Filed: June 17, 2009
    Date of Patent: December 7, 2010
    Assignee: ULVAC, Inc.
    Inventor: Kenji Agou
  • Patent number: 7845892
    Abstract: A substrate-treating apparatus including: a plurality of modules disposed along a first direction, each of the plurality of modules having an inner space for containing a substrate; and a transfer unit transferring the substrate among the plurality of modules, the transfer unit including at least one track disposed along the first direction and at least one movable transfer chamber moving along the at least one track, wherein the at least one movable transfer chamber is atmospherically isolated from an exterior while moving.
    Type: Grant
    Filed: August 17, 2006
    Date of Patent: December 7, 2010
    Assignee: Jusung Engineering Co, Ltd.
    Inventor: Klaus Hügler
  • Patent number: 7845891
    Abstract: Embodiments of the invention include a chamber body having at least one of a top or bottom decoupled from the sidewalls of the chamber body. The invention is suitable for use as a load lock chamber, substrate transfer chamber and vacuum processing chambers, among others.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jae-Chull Lee, Shinichi Kurita, John M. White, Suhail Anwar
  • Patent number: 7841820
    Abstract: The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: November 30, 2010
    Assignee: Crossing Automation, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7837799
    Abstract: An arrangement for transporting a flat substrate through a coating installation, wherein the coating installation comprises, e.g., several and different sputter cathodes, to which the flat substrate, for example a glass pane, is transported one after the other in vacuo. So that no abrasion is generated between glass pane and contact, the glass pane is kept spaced apart from the contact by means of gas pressure. The gas pressure is herein built up through relatively few and small holes in a gas channel. Since during flooding of the coating installation to atmospheric pressure or during evacuation, due to the small holes, no fast pressure equalization between gas channel and the remaining coating installation is possible, the gas channel is decoupled in terms of gas from the remaining coating installation and provided with a separate gas line, via which gas can be introduced into the gas channel or pumped out of it.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: November 23, 2010
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Stefan Bangert, Frank Fuchs, Uwe Schuessler, Ralph Lindenberg, Tobias Stolley
  • Publication number: 20100292826
    Abstract: A reticle manipulating device comprising a housing capable of having a controlled environment wherein at least one processing module is connected to the housing and capable of processing a reticle. A transport apparatus is, connected to the housing for transporting the reticle between the at least one module to another portion of the housing. At least one module is removably connectable to the housing and at least one module has an interface adapted for removably coupling the module to the housing. One module being selectable for connection to the housing from a number of different interchangeable module each having a different predetermined characteristic and being capable of connection to the housing.
    Type: Application
    Filed: April 16, 2010
    Publication date: November 18, 2010
    Applicant: Brooks Automation, Inc.
    Inventors: Jakob Blattner, Rudy Federici, William Fosnight, Clint Haris
  • Patent number: 7833382
    Abstract: A vacuum processing apparatus comprising a transfer unit disposed at a center thereof, plural processing chambers, each processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas; and amass flow controller unit interposed between two processing chambers for supplying gas to the chambers.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: November 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7828928
    Abstract: A vacuum processing apparatus includes an outer chamber comprising a vacuum container, an inner chamber in which a plasma used for processing a wafer is generated, the inner chamber being detachably disposed inside of the outer chamber, a wafer holder on which the wafer is located is disposed inside of the inner chamber, and an exhausting device disposed below the wafer holder which exhausts the inside of the inner chamber. The inner chamber is sealed in air-tight manner with respect to a space between the inner chamber and the outer chamber while the space is maintained at a vacuum pressure.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: November 9, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Patent number: 7815739
    Abstract: A semiconductor processing system includes a casing forming a handling area. The handling area includes a main-process area and a pre-process area divided from each other and connected through an openable port. The main-process area and the pre-process area are connected to their own lines for vacuum-exhausting gas therefrom and their own lines for supplying an inactive gas thereinto and adjust pressure independently. A transfer port unit is disposed on the casing to place a transfer container that stores target objects. The transfer port unit allows the transfer container to open to the main-process area while maintaining an airtightness of the main-process area. The system includes a vertical batch main-processing apparatus. The system also includes a vertical batch pre-processing apparatus connected to the pre-process area and that performs a pre-process on the target objects and transforms a semiconductor oxide film on the target objects into an intermediate film.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Patent number: 7784164
    Abstract: A non-polygon shaped, multi-piece chamber is provided. A non-polygon shaped, multi-piece chamber may include (1) a central piece having a first side and a second side, (2) a first side piece adapted to couple with the first side of the central piece, and (3) a second side piece adapted to couple with the second side of the central piece. The central piece, the first side piece, and the second side piece form a cylindrical overall shape when coupled together. Numerous other aspects are provided.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: August 31, 2010
    Assignee: Applied Materials, Inc.
    Inventors: John M. White, Donald Verplancken, Shinichi Kurita
  • Patent number: 7780391
    Abstract: Processing chambers (3A-3F) for applying a process to a substrate W housed therein are provided at a periphery of a conveying chamber 2. A conveying case (4) houses the substrate (W) in a state isolated from an outside atmosphere. The conveyance case (4) has a gate valve (30) and a transfer mechanism (22). A conveying mechanism (5) supports the conveyance case 4, and carries the conveyance case (4) to a position for conveying in/conveying out a substrate. The number of processing chambers connectable to a conveying chamber is not limited, and conveyance to the processing chamber can be executed while maintaining a predetermined ambience for an atmosphere of a substrate that is to be processed.
    Type: Grant
    Filed: May 9, 2003
    Date of Patent: August 24, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takaaki Matsuoka, Katsuhiko Iwabuchi, Shigeru Ishizawa, Tsutomu Hiroki
  • Patent number: 7763115
    Abstract: A vacuum film-forming apparatus comprising substrate stages; vacuum chamber-forming containers opposed to the stages; a means for moving the substrate between the stages; and gas-introduction means connected to every containers, wherein one of the stage and the container is ascended or descended towards the other to bring the upper face of the stage and the opening of the container into contact with one another so that vacuum chambers can be formed and that a raw gas and/or a reactant gas can be introduced into each space of the chamber through each gas-introduction means to carry out either the adsorption or reaction step for allowing the raw gas to react with the reactant gas. The apparatus permits the independent establishment of process conditions for the adsorption and reaction processes and the better acceleration of the reaction between raw and reactant gases to give a film having excellent quality and the apparatus can be manufactured at a low cost.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: July 27, 2010
    Assignee: ULVAC, Inc.
    Inventors: Masanobu Hatanaka, Michio Ishikawa, Se-Ju Lim, Fumio Nakamura
  • Patent number: 7763550
    Abstract: A layer is formed on a semiconductor wafer in an apparatus having a processing chamber, a transferring chamber, and a wafer boat. The boat having the semiconductor wafer thereon is rotated in the transferring chamber. While the boat is rotated, the boat is transferred between the transferring chamber and the processing chamber and a reaction gas is provided to the processing chamber to form the layer on the wafer.
    Type: Grant
    Filed: February 18, 2005
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji-Sang Yahng, Young-Wook Park, Jae-Jong Han, Jum-Soo Chang
  • Patent number: 7758340
    Abstract: A heating device provided with a cooling plate and a heating plate is formed in a low height, and floats a substrate above the cooling plate and the heating plate and moves the substrate horizontally between the cooling plate and the heating plate by the pressure of a gas. A heating device 2 includes a cooling plate 3 provided with flotation gas spouting ports 3a, and a heating plate provided with flotation gas spouting ports. The flotation gas spouting ports 3a and 3b are arranged along a wafer moving passage and are formed so as to spout the gas obliquely upward toward a first end of the wafer moving passage on the side of the cooling plate. A pushing member 51 is brought into contact with a back part of a wafer W with respect to a direction in which the wafer W is moved to move the wafer W in a direction toward the heating plate 6 opposite a direction in which the flotation gas is spouted.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: July 20, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Shinichi Hayashi, Naruaki Iida, Hiroaki Inadomi
  • Patent number: 7756599
    Abstract: A computer readable storage medium storing a program for performing an operation method of a substrate processing apparatus is provided. The operation method includes the steps of introducing a nonreactive gas into the vacuum preparation chamber before the gate valve is opened while the substrate is transferred between the vacuum preparation chamber of the vacuum processing unit and the transfer unit, stopping introducing the nonreactive gas when an inner pressure of the vacuum preparation chamber becomes same as an atmospheric pressure, starting an evacuation process of the corrosive gas in the vacuum preparation chamber and then opening to atmosphere performed by letting the vacuum preparation chamber communicate with an atmosphere, and opening the gate valve after the step of opening to atmosphere.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 13, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Kudo, Jun Ozawa, Hiroshi Nakamura, Kazunori Kazama, Tsuyoshi Moriya, Hiroyuki Nakayama, Hiroshi Nagaike
  • Patent number: 7748944
    Abstract: A method and apparatus for semiconductor processing is disclosed. In one embodiment, a method of transporting a wafer within a cluster tool, comprises placing the wafer into a first segment of a vacuum enclosure, the vacuum enclosure being attached to a processing chamber and a factory interface. The wafer is transported to a second segment of the vacuum enclosure using a vertical transport mechanism, wherein the second segment is above or below the first segment.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: July 6, 2010
    Assignee: Crossing Automation, Inc.
    Inventors: JB Price, Jed Keller, Laurence Dulmage, David Cheng
  • Patent number: 7750818
    Abstract: A system and method for introducing a substrate into a process chamber is provided. A presence or absence of a substrate on a stage in an apparatus for manufacturing a semiconductor or a flat panel display may be determined by lift pins used for loading and unloading a substrate, the introduction of another substrate may be prevented and a broken state or the erroneously loaded state of the substrate may be detected. An opening or closing of a gate valve may also be determined, and the introduction of a substrate into the process chamber may be prevented while the gate valve is closed.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: July 6, 2010
    Assignee: ADP Engineering Co., Ltd.
    Inventor: In Taek Lee
  • Patent number: 7748942
    Abstract: In a load port apparatus, a slit is formed between an inner circumferential surface of an opening on a wall of the chamber of the apparatus and an outer circumferential surface of a door. In a predetermined section of the slit, the slit width at the chamber side opening of the slit is made larger than the slit width at other positions with respect to the depth direction of the slit. By this feature, gas flow from the interior of the load port apparatus to the exterior through a clearance formed between the inner surface of the opening that allows to bring wafers out of/into a pod and the door that substantially closes the opening can be made stable.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: July 6, 2010
    Assignee: TDK Corporation
    Inventors: Hitoshi Suzuki, Toshihiko Miyajima
  • Patent number: 7699574
    Abstract: A transfer system for use with a tool for processing a work-piece at low or vacuum pressure such as an ion implanter for implanting silicon wafers. An enclosure defines a low pressure region for processing of work-pieces placed at a work-piece processing station within the low pressure region. A two tier multiple work-piece isolation load lock transfers work-pieces from a higher pressure region to the lower pressure for processing and back to said higher pressure subsequent to said processing. A first robot transfers work-pieces within the low pressure region from the load locks to a processing station within the low pressure region. Multiple other robots positioned outside the low pressure region transfers work-pieces to and from the two tier work-piece isolation load locks from a source of said work-pieces prior to processing and to a destination of said work-pieces after said processing.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: April 20, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventor: Joseph Ferrara
  • Patent number: 7699573
    Abstract: A reticle manipulating device with an at least substantially closed housing for maintaining clean-room conditions inside the housing, an input/output station for introducing and discharging reticles in and out of the housing, and at least one functional unit arranged in the housing for impressing a predetermined function on the reticles. The device has a manipulating device also arranged inside the housing, for manipulating the reticles in the housing.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: April 20, 2010
    Assignee: Brooks Automation, Inc.
    Inventors: Jakob Blattner, Rudy Federici, William Fosnight, Clint Haris
  • Patent number: 7692120
    Abstract: A transport robot including a release-side high radiation ratio portion and a receive-side high radiation ratio portion that face each other. Heat in a substrate conducted to a base portion due to thermal conduction is released as radiant heat from the release-side high radiation ratio portion, and the radiant heat is absorbed by the receive-side high radiation ratio portion. The receive-side high radiation ratio portion is formed on a heat-receiving plate that is thermally connected to a vacuum chamber so that radiant heat absorbed by the receive-side high radiation ratio portion is transferred to the vacuum chamber. As a result, even in the case where the high-temperature substrate is transported in a vacuum atmosphere, heat from the substrate is not accumulated in a transport system, and the transport system hardly reaches a high temperature.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: April 6, 2010
    Assignee: Ulvac, Inc.
    Inventor: Toshio Koike
  • Patent number: 7670095
    Abstract: The wafer processing apparatus includes a chamber that is pressurized to a pressure that is higher than the pressure of the exterior thereof, an opening portion through which the interior and the exterior of the chamber are in communication with each other, and a door that closes the opening portion. When the opening portion is closed by the door, a portion of the opening remains as an aperture uncovered by the door. In conventional semiconductor wafer processing apparatus, the interior of the apparatus is sealed and pressurized in order to keep a high degree of cleanness in the wafer processing portion, and therefore airflow is generated due to a pressure difference between the interior and the exterior of the apparatus. With the above feature of the invention, it is possible to suppress creation of such airflow and prevent dust from entering the wafer processing apparatus to eliminate wafer contamination.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: March 2, 2010
    Assignee: TDK Corporation
    Inventors: Tsutomu Okabe, Hiroshi Igarashi
  • Patent number: 7665951
    Abstract: Embodiments of the invention include a load lock chamber, a processing system having a load lock chamber and a method for transferring substrates between atmospheric and vacuum environments. In one embodiment, the method includes maintaining a processed substrate within a transfer cavity formed in a chamber body for two venting cycles. In another embodiment, the method includes transferring a substrate from a transfer cavity to a heating cavity formed in the chamber body, and heating the substrate in the heating cavity. In another embodiment, a load lock chamber includes a chamber body having substrate support disposed in a transfer cavity. The substrate support is movable between a first elevation and a second elevation. A plurality of grooves are formed in at least one of a ceiling or floor of the transfer cavity and configured to receive at least a portion of the substrate support when located in the second elevation.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: February 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Suhail Anwar, Jae-Chull Lee
  • Patent number: 7665946
    Abstract: A transfer chamber for a flat display device manufacturing apparatus is provided. The transfer chamber may combine functions of a transfer chamber and a load-lock chamber. A robot may be provided aside from a center of the transfer chamber, and a buffer may be provided so as to avoid interference with the robot. An aligner may adjust a position of a substrate mounted on the buffer.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: February 23, 2010
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventors: Gwang Ho Hur, Jun Young Choi, Sang Baek Lee, Cheol Won Lee
  • Patent number: 7658586
    Abstract: A wafer processing system and method in which a wafer, having a diameter, is movable between a loadlock and a processing chamber. A transfer chamber is arranged for selective pressure communication with the loadlock and the processing chamber. The transfer chamber having a configuration of lateral extents such that the wafer is movable through the transfer chamber between the loadlock and processing chamber along a wafer transfer path and the configuration of lateral extents causes the wafer, having the wafer diameter and moving along the wafer transfer path, to interfere with at least one of the loadlock and the processing chamber for any position along the wafer transfer path. The wafer includes a center and the wafer transfer path cab be defined by movement of the center through the transfer chamber. Swing arms are described that can independently move by different angles in opposing directions from a home position.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: February 9, 2010
    Assignee: Mattson Technology, Inc
    Inventors: Leszek Niewmierzycki, David Barker, Michael Kuhlman, Ryan Pakulski, Hongqing Shan, Martin Zucker
  • Patent number: 7651311
    Abstract: In this invention, a movable body movable in forward and backward directions and a connector fixed to an opener-side door are connected with each other by link members so as to constitute a parallel link mechanism, such that they can be angularly displaced relative to each other. Link member angular displacement means controls the angular displacement of each link member relative to the movable body to be in a predetermined angular position, corresponding to the position of the movable body along the forward and backward directions. Reciprocation of the movable body in the forward and backward directions by movable body drive means moves the opener-side door in the forward and backward directions as well as in the upward and downward directions, thereby opening an opener-side opening.
    Type: Grant
    Filed: August 15, 2007
    Date of Patent: January 26, 2010
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventors: Yasuhiko Hashimoto, Masao Takatori