Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 6736582
    Abstract: In a device for manipulating an object for loading and unloading a clean room, the problem is to reduce the hardware expense for adjustment devices and for air conditioning while maintaining ergonomic benefits and to further improve the clean room conditions in the device. The device contains a stationary outer part (3) of a housing (2) as well as an inner part (4) that can extend telescopically from it and a receptacle element (11) in the roof area of the inner part (4). For the telescopic extension of the inner part and for the lowering of the receptacle element into the inner part, a common guide rail (16) and a common drive (20) are provided. The receptacle element connected to the drive engages with a driver of the inner part for the telescopic extension of the inner part by vertical upward movement and after reaching an access level (E2, E2), at which the inner part is secured, it can be lowered in the inner part.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: May 18, 2004
    Assignee: Brooks Automation, Inc.
    Inventors: Andreas Mages, Andreas Birkner, Alfred Schulz, Klaus Schultz
  • Patent number: 6736149
    Abstract: An apparatus for supercritical processing of multiple workpieces comprises a transfer module, first and second supercritical processing modules, and a robot. The transfer module includes an entrance. The first and second supercritical processing modules are coupled to the transfer module. The robot is preferably located with the transfer module. In operation, the robot transfers a first workpiece from the entrance of the transfer module to the first supercritical processing module. The robot then transfers a second workpiece from the entrance to the second supercritical processing module. After the workpieces have been processed, the robot returns the first and second workpieces to the entrance of the transfer module. Alternatively, the apparatus includes additional supercritical processing modules coupled to the transfer module.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: May 18, 2004
    Assignee: Supercritical Systems, Inc.
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 6734950
    Abstract: Disclosed is a load-lock chamber for loading and unloading a reticle or a wafer into and out of an exposure apparatus, which includes a table having a slotted flat plane for carrying thereon one or more reticles or wafers, a combination of an elevation shaft and an elevation driving unit, for moving the table upwardly and downwardly, a receiving bore for receiving the table with a small clearance maintained between the bore and a side wall of the table, and a load-lock chamber main assembly operable to move the table into the bore and to accommodate or discharge the table with a reticle or a wafer being carried thereon.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: May 11, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hitoshi Nakano
  • Patent number: 6732610
    Abstract: The XY stage mechanism comprises a Y slide shaft 2 penetrating through only one side surface of the wall surfaces of a vacuum chamber 1 for holding a stage base plate in a cantilevered manner, a Y air slide bearing 4 for guiding the Y slide shaft 2, an X air slide plate 5, a first air slide bearing 6 for supporting the X air slide plate 5, a coupling portion 8, and a second X air slide bearing 9 serving as the guide of the coupling portion 8, whereby, in a state where not only the Y slide shaft is floated up but also the X air slide plate and coupling portion are floated up, the XY stage is driven.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: May 11, 2004
    Assignee: Kyocera Corporation
    Inventors: Akira Higuchi, Takayuki Kato, Kenichi Iwasaki
  • Publication number: 20040083955
    Abstract: A vacuum chamber used for processing articles, such as integrated circuit wafers, display panels, and the like, has a small load lock chamber formed at an opening in a wall of the chamber by a moveable article supporting surface within the chamber and a cover outside of the chamber. The supporting surface and cover are sealed to the chamber wall when urged against it. Articles placed into the load lock chamber, when the cover is opened, are moved into the vacuum chamber for processing by moving the supporting surface away from the wall after the cover has been closed and a vacuum established in the load lock chamber. Articles are removed from the vacuum chamber in a reverse manner. Various mechanisms are describe for moving the articles, including a particular robotic device that simultaneously swaps the positions of two articles between the supporting surface and a processing location within the vacuum chamber by first pulling the articles together and then rotating them in a half-circle.
    Type: Application
    Filed: July 17, 2003
    Publication date: May 6, 2004
    Inventor: Bruce Gordon Ramsay
  • Patent number: 6729824
    Abstract: A substrate processing system having a transfer chamber having two processing chambers and two load lock chambers coupled thereto is generally provided. The transfer chamber includes a body having a first transfer area and a second transfer area defined therein on either side of a center axis. A first passage couples one of the load locks with the first transfer area and a second passage couples the other one of the load locks with the second transfer area. The first passage and the second passage form an acute angle about the center axis. A transfer platform is disposed between the first transfer area and the second transfer area. A first transfer robot and a second transfer robot are disposed in the first and second transfer areas, respectively. Each robot is adapted to transfer substrates between the load locks, the transfer platform and a processing chamber.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: May 4, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Lawrence C. Lei, Moris Kori
  • Patent number: 6726429
    Abstract: A buffer apparatus includes a vertically moving mechanism containing a plurality of horizontally moving mechanisms to store carriers and transfer carriers to and from a load port, and one or more buffer load ports adjacent to the buffer apparatus to charge and uncharge the buffer apparatus by means of a guided vehicle, an overhead vehicle, or a human. A buffer system includes a buffer apparatus and a processing system load port to transfer carriers from the buffer apparatus to a processing system load port. An arrayed buffer system includes a plurality of buffer systems where each buffer system interacts with an individual set of load ports or a pair of buffer systems interacts with a shared set of load ports. A combination of arrayed buffer systems includes a plurality of adjacent arrayed buffer systems capable of sharing a single, environmental front-end mechanism maintenance space and capable of being serviced from the front.
    Type: Grant
    Filed: February 19, 2002
    Date of Patent: April 27, 2004
    Assignee: Vertical Solutions, Inc.
    Inventors: James G. Sackett, David E. Weldon, H. Alexander Anderson
  • Patent number: 6726776
    Abstract: The present invention relates generally to an improved process for providing uniform step coverage on a substrate and planarization of metal layers to form continuous, void-free contacts or vias in sub-half micron applications. In one aspect of the invention, a refractory layer is deposited onto a substrate having high aspect ratio contacts or vias formed thereon. A CVD metal layer is then deposited onto the refractory layer at low temperatures to provide a conformal wetting layer for a PVD metal. Next, a PVD metal is deposited onto the previously formed CVD metal layer at a temperature below that of the melting point temperature of the metal. The resulting CVD/PVD metal layer is substantially void-free.
    Type: Grant
    Filed: August 9, 1999
    Date of Patent: April 27, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Roderick Craig Mosely, Hong Zhang, Fusen Chen, Ted Guo
  • Patent number: 6722835
    Abstract: A wafer processing system employing a single-wafer load lock with integrated cooling unit is disclosed. The small volume of the single-wafer load lock allows for fast pump down and vent cycles. By integrating a cooling unit within the load lock, system throughput is further increased by eliminating the need to move a newly processed wafer to a separate cooling unit before moving the wafer to the load lock.
    Type: Grant
    Filed: June 3, 2002
    Date of Patent: April 20, 2004
    Assignee: Novellus Systems, Inc.
    Inventors: Craig L. Stevens, Antoni F. Jakubiec
  • Patent number: 6719517
    Abstract: A substrate processing apparatus comprising a frame, at least one processing module, and a substrate transport apparatus. The frame defines a first chamber with outer substrate transport openings for transporting substrates between the first chamber and an exterior of the frame. The processing module is connected to the exterior of the frame. The processing module communicates with the first chamber of the frame through at least one of the outer openings. The substrate transport apparatus is connected to the frame for transporting substrates between the first chamber and the processing module exterior to the frame. The frame has a second integral chamber formed therein. The second integral chamber communicates with the first chamber through an internal substrate transport opening of the frame. The second integral chamber of the frame has a selectable configuration from a number of predetermined configurations.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: April 13, 2004
    Assignee: Brooks Automation
    Inventors: David R. Beaulieu, Douglas R. Adams, Mitchell Drew, Peter Van Der Meulen
  • Patent number: 6719516
    Abstract: The present invention provides a load lock having a vertically movable lid, an internal robot, and a wafer lifting mechanism and further provides a method of transferring wafers through a load lock directly to a process chamber. An atmospheric transfer robot shuttles wafers to and from the lifting mechanism while the lid is raised and the lifting mechanism then transfers wafers to and from the internal robot. The load lock is directly attached to a process chamber and communicates therewith via a slit valve which is selectively opened and closed. The internal robot is extended and retracted through the slit valve aperture in order to transfer a wafer to and from the process chamber. In one embodiment the lifting mechanism is comprised of vertically movable lift pins disposed through the bottom of the load lock. In another embodiment the lifting mechanism includes two pairs of lift forks disposed through the cover of the load lock.
    Type: Grant
    Filed: September 28, 1998
    Date of Patent: April 13, 2004
    Assignee: Applied Materials, Inc.
    Inventor: Tony Kroeker
  • Patent number: 6719499
    Abstract: Device for the floating accommodation of a wafer. This device comprises two mutually opposite parts which delimit a chamber in which the wafer is placed. By gas being supplied from opposite sides, the wafer is held in a floating position. To prevent the wafer from touching the lateral boundaries, it is proposed to provide a discharge of gas at least partially near the circumference of the chamber wherein the wafer is accommodated. This discharge is realised such that if the wafer moves from the intended position to such a gas discharge, by closing of said gas discharge, the pressure is locally increased such that a force is generated acting in opposite direction to return the wafer in the intended position.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: April 13, 2004
    Assignees: ASM International N.V., Koninklijke Philips Electronics N.V.
    Inventors: Vladimir Ivanovich Kuznetsov, Sijbrand Radelaar, Jacobus Cornelis Gerardus Van Der Sanden, Theo Anjes Maria Ruijl
  • Patent number: 6714832
    Abstract: A method of operating a vacuum processing system including a plurality of processing units for processing wafers, a transferring unit for carrying the wafers and a control unit for controlling the processing units and the transferring unit. At least two of the plurality of processing units are connected to the transferring unit and wafers are processed using the processing units. The method includes the steps of judging whether each of the processing units is operable or inoperable, isolating inoperable ones of the processing units judged in the judging step from wafer processing, carrying wafers to operable ones of the processing units using the transferring unit and processing the wafers using only the operable processing units.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 30, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6712907
    Abstract: The mechanism comprises a magnetically coupled drive mechanism for transporting semiconductor wafers in a semiconductor wafer processing system. The mechanism includes an actuator within a cylinder that contains a set of magnets that drive a complementary set of magnets inside a carriage along a linear path. The carriage is limited to linear motion via a linear ball slide. The magnets in the actuator and carriage are magnetically coupled in such a way as to prevent angular rotation of the magnets within the actuator. Accordingly, driving elements in the actuator can be moved via rotation of a ball screw shaft coupled to a ball nut affixed to the actuator magnets.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: March 30, 2004
    Assignee: Novellus Systems, Inc.
    Inventors: Thomas M. Pratt, Scott Douglas McClelland, Craig L. Stevens, Kerry Hopkins
  • Patent number: 6709218
    Abstract: The present invention generally provides a robot blade which provides a plurality of semi-conductive or conductive contacts disposed at least partially on the surface of the blade to support a substrate above the blade. The contacts are preferably located inwardly from the edge of the blade and toward the center of the blade to provide a collection area on the blade to capture any particles which may form. The blade is preferably made of a semi-conductive material, such as alumina or other semi-conductive material, to provide an electrical flow path through the contact(s) to discharge any electrical charge which may build up on the substrate during processing.
    Type: Grant
    Filed: June 3, 1999
    Date of Patent: March 23, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Frederik W. Freerks, Tetsuya Ishikawa, Timothy Y. Wang, Jeffrey C. Hudgens, James R. Ciulik, Mohsen Salek, Tim Leong, Al DiFrancesco
  • Patent number: 6709545
    Abstract: In order to alleviate the affect of particles generated during operation of an elevation mechanism of a substrate conveyer means on the substrate that is transported in a substrate processing apparatus, a partition wall having a slit-like hole is provided in a casing that forms the outer housing of the elevation mechanism, whereby the casing is divided into a first chamber and a second chamber. A conveyer main unit holding a wafer is fixed to a rod-like support member. The support member has its end supported by a guide shaft. The guide shaft and a driving mechanism to move the support member upwards and downwards are provided in the first chamber. The support member descends and ascends along the guide shaft. A fan is disposed in the second chamber. A discharge outlet is formed at the bottom plane of the second chamber.
    Type: Grant
    Filed: January 4, 2002
    Date of Patent: March 23, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Naruaki Iida
  • Patent number: 6709521
    Abstract: An LCD substrate transfer apparatus includes an articulated arm unit attached to a support base, to be rotatable and stretchable/retractable within a horizontal plane. The articulated arm unit has a distal end arm, which reciprocates in a transfer direction upon stretching/retracting operation of the articulated arm unit. A support member is arranged on the distal end arm to support an LCD substrate. The support member is attached to the distal end arm to be reciprocatable in the transfer direction. A pair of temporary shelves for supporting the LCD substrate are disposed to sandwich the support member when the articulated arm unit and support member retract. The LCD substrate is placed on the temporary shelves, and only the articulated arm unit is rotated to switch the transfer directions.
    Type: Grant
    Filed: September 5, 2000
    Date of Patent: March 23, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Patent number: 6705828
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: March 16, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6704998
    Abstract: An I/O minienvirornent including a port door within an I/O port, and a system for removing the port door and pod door coupled thereto, and setting down the pod and port doors at a convenient location within the I/O minienvironment. After wafer processing has been completed and the wafers have been transferred back through the I/O port to the SMEF pod, the system may retrieve the port and pod doors, and return the port door to their sealing positions within the I/O port and cassette, respectively. In a preferred embodiment, the system for gripping and transporting the port and pod doors may be located on the back end of the end effector of the wafer handling robot within the I/O minienvironment. The back end of the end effector is the end of the end effector opposite that used to transport the wafers and/or cassette.
    Type: Grant
    Filed: December 24, 1997
    Date of Patent: March 16, 2004
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, William J. Fosnight, Raymond S. Martin
  • Patent number: 6702865
    Abstract: An alignment processing mechanism 10 according to the present invention includes: a conveying mechanism 11 for conveying a substrate W to be processed, an alignment mechanism 12 for aligning the substrate W conveyed by the conveying mechanism 11 to a predetermined direction, and a buffer mechanism 13 for relaying the substrate W from the conveying mechanism 11 to the alignment mechanism 12. The buffer mechanism 13 is adapted to temporarily hold the substrate W conveyed by the conveying mechanism 11, and to pass the temporarily holding substrate W to the alignment mechanism 12 based on a state of the alignment mechanism 12. According to the present invention, the alignment mechanism 12 can be used with greater efficiency in order to achieve a high speed of an alignment process.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: March 9, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Masahito Ozawa, Masaki Narushima
  • Patent number: 6702540
    Abstract: A compact disc manufacturing machine carrying out a plurality of manufacturing stages including a vacuum stage. A central manipulator has a plurality of radially and outwardly extending manipulator arms which move the discs successively into program-determined working locations necessary for carrying out successively the manufacturing process. The vacuum chamber houses a multi-arm inner manipulator. The disk is moved to and from the vacuum chamber through a vacuum sluice having upper, intermediate and lower parts. The lower part is mounted on an outer end of an arm of the internal manipulator, and the upper part is affixed to an outer end of one of the arms of the central manipulator. When a disk is to be introduced into the vacuum chamber, the arm of the central manipulator delivers the disk into the intermediate part, and the upper part is positioned by the arm over the intermediate part.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: March 9, 2004
    Assignee: M2 Engineering AB
    Inventor: Stefan Olin
  • Patent number: 6698992
    Abstract: An elastically expandable positioning device for positioning a cover of a unified pod is disclosed, which has a central fastener having one end protruded on the interface plate and an axial input hole opened at another end, and at least one radial distributing hole equally opened at its circular surface; a ring-shaped inflatable body made from an expandable elastic material; a supporting ring encircled around the central bolt and positioned above the distributing hole, for supporting the inflatable body, the supporting ring having at least one radial inflating hole equally positioned corresponding to the radial distributing hole of the central bolt; and an input fluid source connected to the axial input hole of the central bolt, for providing an input fluid.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: March 2, 2004
    Assignee: Fortrend Taiwan Scientific Corp.
    Inventors: Kung Chris Wu, Torben J. Ulander, Chawshiang Wu, John Rush
  • Patent number: 6698991
    Abstract: A fabrication system is provided which includes a storage apparatus coupled perpendicularly to a branch transport aisle, and one or more environmentally controlled fabrication tools coupled parallel to the branch transport aisle. The fabrication tools can encompass single chamber units or larger cluster tools with sub mainframes. The storage apparatus has one or more load ports which allow transfer of wafer carriers to or from a factory transport agent. A tool loading platform is positioned to receive a wafer carrier from the storage apparatus and to enable the fabrication tool to access a wafer carrier positioned thereon. A plurality of fabrication tools may be coupled beside each other within the equipment set. Each of the plurality of fabrication tools is coupled to the storage apparatus so that a wafer or wafer carrier may be received from or transferred to a factory transport agent and may travel along the storage apparatus to any of the plurality of fabrication tools coupled thereto.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: March 2, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Robert Z. Bachrach, John C. Moran
  • Patent number: 6695947
    Abstract: There is provided a clustered device for manufacturing a semiconductor device in which a cleaning chamber, a rapid thermal processing chamber, an optical measurement chamber, and the like are arranged around a load-lock room. In an optical measurement system, there are disposed an exciting light source, a measuring light source, a light detector, a control/analyze system, and the like. During the formation of an oxide film, for example, a wafer is cleaned in the cleaning chamber and then the amount of a natural oxide film remaining on the wafer or the like is measured by optical modulation reflectance spectroscopy in the optical measurement chamber. As a result, the surface state of the wafer can be monitored in the course of sequential process steps. By measuring the thickness of a film on a semiconductor region by optical evaluation in the clustered manufacturing device, the manufacturing process using the clustered device can be controlled.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: February 24, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Koji Eriguchi
  • Patent number: 6692209
    Abstract: A system (10) for manufacturing a photocathode includes a housing (12) having a first end and a second end. The first end of the housing (12) is operable to be coupled to a vacuum chamber (22). The system (10) also includes a drive support (20) disposed within the housing (12). The system (10) includes a shaft (14) disposed within the housing (12) and a ladder (16) coupled to the shaft (14). The ladder (16) includes at least one rung (140) to retain the photocathode. The system (10) further includes a drive system (18) supported by the drive support (20) within the housing (12). The drive system (18) is coupled to the shaft (14) and is operable to translate the shaft (14) relative to the housing (12) to position the rung (140) of the ladder (16) at a predetermined location within the vacuum chamber (22).
    Type: Grant
    Filed: November 19, 1999
    Date of Patent: February 17, 2004
    Assignee: Litton Systems, Inc.
    Inventors: James D. Pruet, David G. Couch
  • Patent number: 6692219
    Abstract: A wafer handling system and a method of retrofitting the system to an existing wafer handling apparatus are provided that make possible a method of handling wafers by contacting only a narrow area of not more than two millimeters wide adjacent the edge of the wafer, which is particularly useful for backside deposition where device side contact defines an area of exclusion that renders the wafer unusable in that area. The system provides a chuck on a wafer transfer arm that holds a wafer by gravity on a segmented, upwardly facing annular surface. A compatible annular surface is provided on an aligning station chuck so that wafers can be transferred by contact only with the exclusion area of the wafer surface. A load arm has two similarly compatible chucks further provided with pneumatically actuated grippers to allow the wafer to be loaded into a vertical processing apparatus.
    Type: Grant
    Filed: November 29, 2000
    Date of Patent: February 17, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Stephen D. Coomer, Stanislaw Kopacz, Glyn Reynolds, Michael James Lombardi, Todd Michael Visconti
  • Patent number: 6690993
    Abstract: A reticle storage system includes a reticle rack having a series of lateral slots, each for storing a reticle. Access to the reticles is provided on a lateral side of the rack. The enclosure has a series of doors for providing access to the reticles in the slots. An air circulation system flows filtered air past the reticles in the rack to prevent contaminants from accumulating on the reticles. The air circulation system is capable of providing positive air pressure within the enclosure with one door open, thereby preventing contaminants from entering the enclosure through the open door.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: February 10, 2004
    Assignee: R. Foulke Development Company, LLC
    Inventors: Richard F. Foulke, Richard F. Foulke, Jr., Cord W. Ohlenbusch, Takman Lui
  • Patent number: 6685779
    Abstract: According to one aspect of the invention, a method of processing a wafer is provided. The wafer is located in a wafer processing chamber of a system for processing a wafer. A silicon layer is then formed on the wafer while the wafer is located in the wafer processing chamber. The wafer is then transferred from the wafer processing chamber to a loadlock chamber of the system. Communication between the processing chamber and the loadlock chamber is closed off. The wafer is then exposed to ozone gas while located in the loadlock chamber, whereafter the wafer is removed from the loadlock chamber out of the system.
    Type: Grant
    Filed: February 11, 2002
    Date of Patent: February 3, 2004
    Assignee: Applied Materials, Inc.
    Inventors: David K Carlson, Paul B. Comita, Norma B. Riley, Dale R. Du Bois
  • Patent number: 6682288
    Abstract: A substrate processing pallet has a top surface and a plurality of side surfaces. The top surface has at least one recess adapted to receive a substrate. The recess includes a support structure adapted to contact a portion of a substrate seated in the recess and a plurality of apertures each adapted to accommodate a lift pin. Lift pins can extend through the apertures initially to support the substrate and retract to deposit the substrate onto the support structure. A side surface includes a process positioning feature adapted to engage with a feature located in a process chamber to position the pallet. A side surface includes a positioning feature adapted to engage with an end effector alignment feature to position the pallet with respect to the end effector during transport. A side surface includes support features adapted to engage with end effector support features to support the pallet during transport.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: January 27, 2004
    Assignee: Nexx Systems Packaging, LLC
    Inventors: Martin P. Klein, David Felsenthal, Piero Sferlazzo
  • Patent number: 6680775
    Abstract: The mask guiding device of the present invention has a mask guiding device that guides a substrate received from the outside. The mask guiding device is provided with a plurality of receiving portions that receives said mask from the outside.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: January 20, 2004
    Assignee: Nikon Corporation
    Inventor: Shinichi Hirakawa
  • Patent number: 6679671
    Abstract: A magnetic drive system for moving a substrate transfer shuttle along a linear path between chambers in a semiconductor fabrication apparatus. A rack with rack magnets is secured to the shuttle, and a rotatable pinion with pinion magnets is positioned adjacent the rack so that the pinion magnets can magnetically engage the rack magnets. Thus, rotation of the pinion will cause the shuttle to move along the linear path. The magnets may be oriented with a helix angle between their primary axis and the axis of rotation of the pinion. One rack and one pinion are located on each side of the shuttle. A set of lower guide rollers supports the shuttle, and a set of upper guide rollers prevents the shuttle from lifting off the lower guide rollers.
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: January 20, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Wendell T. Blonigan, John M. White
  • Patent number: 6672819
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: January 6, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6667250
    Abstract: To provide a film substrate treatment apparatus that appropriately mounts film substrates on an electrostatic adsorption stage. In the film substrate treatment apparatus, adsorption pads are disposed on the first adsorption units that mount film substrates on an electrostatic stage, and a pressing member that presses the edge portion areas of the film substrates against the stage is provided. The film substrates can thereby be reliably attached to the stage, and the film substrates can be appropriately treated in a decompressed atmosphere.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: December 23, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tatsuo Sasaoka, Naoki Suzuki, Takahiro Yonezawa, Satoshi Horie
  • Patent number: 6662465
    Abstract: A vacuum processing apparatus which includes a conveyor structure for transferring a substrate from a substrate storage device held on a substrate storage device mount table. The apparatus further includes a vacuum loader provided with an additional conveyor structure and a vacuum processing chamber for sequentially loading substrate to be processed. The conveyor structures are each provided with a robot.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: December 16, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6663333
    Abstract: A loadlock chamber assembly includes a loadlock chamber, a sub-chamber removably attached to the loadlock chamber and a first robot arm having a primary pivot axis within the sub-chamber, wherein the first robot arm can move a substrate from a position approximately in a center of the loadlock chamber to a position outside the loadlock chamber.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: December 16, 2003
    Assignee: Axcelis Technologies, Inc.
    Inventors: David William Kinnard, Daniel Richardson
  • Patent number: 6658762
    Abstract: A method and an apparatus for transporting substrates in all organic light emitting diode (OLED) process is disclosed, which has a transferring chamber provided for transporting substrates between processing modules and the atmosphere condition therein is able to be adjusted to be the same as the processing module by an atmosphere conditioner unit. According to the present invention, the substrates are not contaminated by moisture and the process operation and the factory layout are more flexible. Moreover, the OLED yield is improved.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: December 9, 2003
    Assignee: RiTdisplay Corporation
    Inventors: Yih Chang, Jung-Lung Liu, Chih-Jen Yang, Chih-Ming Kuo, Jih-Yi Wang, Tien-Rong Lu
  • Patent number: 6655891
    Abstract: A substrate transfer system comprising a cassette table for mounting a cassette which has an opening portion for loading and unloading a substrate and a cover detachably provided to the opening portion, process portion for processing the substrate housed in a cassette on the cassette table, a transfer arm mechanism for taking out the substrate from the cassette table, transferring it to process units G1 to G5, and returning a processed substrate to the cassette on the cassette table, partition members provided between the transfer arm mechanism and the cassette table, for separating an atmosphere on the side of the transfer arm mechanism from that on the side of the cassette table, a passage formed in the partition member so as to face the opening portion of the cassette on the cassette table, for passing the substrate taken out from the cassette on the cassette table by the transfer arm mechanism and returning the substrate to the cassette on the cassette table, cassette moving mechanisms for moving the open
    Type: Grant
    Filed: March 25, 2002
    Date of Patent: December 2, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Issei Ueda, Masami Akimoto, Kazuhiko Ito, Mitiaki Matsushita, Masatoshi Kaneda, Yuji Matsuyama
  • Patent number: 6641349
    Abstract: A clean box is composed of a box body having an opening in one surface thereof and a lid member for closing the opening. An annular groove is formed so as to surround the opening on one of the box body or the lid member for defining a suction space sealed between the lid member and the box body under the condition that the lid member is mounted on the box body. Furthermore, intake/exhaust ports are provided for vacuum exhaust/release from the outside.
    Type: Grant
    Filed: December 29, 1999
    Date of Patent: November 4, 2003
    Assignee: TDK Corporation
    Inventors: Toshihiko Miyajima, Tsutomu Okabe
  • Publication number: 20030202865
    Abstract: A wafer handler having a central body with a first end and a central axis of rotation is provided. A first end effector, adapted to support a first wafer, is rotatably coupled to the first end of the central body so as to define a first axis of rotation between the central body and the first end effector. Optionally, a second end effector adapted to support a second wafer is rotatably coupled to the second end of the central body so as to define a second axis of rotation between the central body and the second end effector. When the central body is rotated about the central axis of rotation in a first direction over a first angular distance, the first end effector simultaneously rotates about the first axis of rotation and the optional second end effector rotates about the second axis of rotation. Both end effectors are rotated over a second angular distance that is greater than the first angular distance. One or more of the end effectors may be pocketless.
    Type: Application
    Filed: April 25, 2002
    Publication date: October 30, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Hari Ponnekanti, Vinay K. Shah, Michael Robert Rice, Victor Belitsky, Damon Cox, Robert B. Lowrance, Joseph Arthur Kraus, Jeffrey C. Hudgens
  • Patent number: 6637998
    Abstract: A mobile, self-evacuating, micro-environment system for transit and storage of substrates between two or more processing chambers in the manufacture of semiconductor devices is provided where the system includes a mobile cart, a vacuum sealable container to hold the substrates, a vacuum source having a portable power source, located on the cart and capable of generating a vacuum in the container, and a docking valve to mate with a corresponding valve on each of the processing chambers, where the docking valve and the corresponding valve are securable to one another to form a substantially vacuum-tight seal and openable, while mated, to permit unloading and loading of substrates between the container and the processing chamber. A method of using the system is also provided.
    Type: Grant
    Filed: October 1, 2001
    Date of Patent: October 28, 2003
    Assignee: Air Products and Chemicals, Inc.
    Inventors: John Giles Langan, Wayne Thomas McDermott, Thomas Hsiao-Ling Hsiung
  • Publication number: 20030198541
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Application
    Filed: June 9, 2003
    Publication date: October 23, 2003
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6634116
    Abstract: A wafer conveyor system for use in a vacuum processing apparatus wherein the conveyor structure is provided with a transfer structure, and a robot apparatus is arranged on the transfer structure. The robot provides for rotation of the wafer in a horizontally from a position in a cassette to an opposite position of the cassette.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: October 21, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Publication number: 20030190220
    Abstract: The present invention provides an apparatus and method for substrate transport. In systems according to the invention, at least a first and second chamber are provided. The first chamber may be a load lock and the second chamber a processing chamber. A substrate transfer shuttle is provided and is moveable along a linear path defined by guide rollers between one position in the first chamber and another position in the second chamber. In this way, the substrate may be transferred, in both a forward and a reverse direction, between the first chamber and the second chamber. The substrate transfer shuttle is structured so that a substrate may be removed therefrom by moving a support in one of the chambers from a lowered position to an intermediate position, after which the substrate transfer shuttle may be removed from the chamber.
    Type: Application
    Filed: June 13, 2001
    Publication date: October 9, 2003
    Applicant: Applied Komatsu Technology, Inc
    Inventors: John M. White, Norman L. Turner, Robin L. Tiner, Ernst Keller, Shinichi Kurita, Wendell T. Blonigan, David E. Berkstresser
  • Patent number: 6625899
    Abstract: A vacuum processing apparatus which includes a means for transferring substrates from a loader with a transferring device to a double lock chamber and then to a selected vacuum processing chamber. The substrates are then returned to a substrate by the vacuum loader and back into the substrate table. The surfaces of the substrates are maintained in a horizontal position during processing.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: September 30, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6609877
    Abstract: A vacuum chamber used for processing articles, such as integrated circuit wafers, display panels, and the like, has a small load lock chamber formed at an opening in a wall of the chamber by a moveable article supporting surface within the chamber and a cover outside of the chamber. The supporting surface and cover are sealed to the chamber wall when urged against it. Articles placed into the load lock chamber, when the cover is opened, are moved into the vacuum chamber for processing by moving the supporting surface away from the wall after the cover has been closed and a vacuum established in the load lock chamber. Articles are removed from the vacuum chamber in a reverse manner. Various mechanisms are describe for moving the articles, including a particular robotic device that simultaneously swaps the positions of two articles between the supporting surface and a processing location within the vacuum chamber by first pulling the articles together and then rotating them in a half-circle.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: August 26, 2003
    Assignee: The BOC Group, Inc.
    Inventor: Bruce Gordon Ramsay
  • Patent number: 6609876
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from containers under clean room conditions. These transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by using an adhering engagement. The charging opening and transporting container are opened simultaneously in that the container cover and the closure are moved down jointy into the semiconductor processing installation.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: August 26, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6599075
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: July 29, 2003
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6599076
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: July 29, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall
  • Patent number: 6592318
    Abstract: An integrated wafer transport and transfer device is disclosed, which includes a vehicle with an integrated docking platform for holding a wafer carrier such as a FOUP (front opening unified pod). The docking platform is positioned at the correct height for sealing the FOUP to the load lock of a process tool. Vertical and/or horizontal movement is required in some cases. Methods for delivering wafers to process tools are also described. In a preferred embodiment, wafers are carried inside a FOUP on a cart, such as an automatically guided vehicle or a personally guided vehicle. The cart is docked at a process tool and the FOUP is sealed to the load lock of the tool without removing the FOUP from the cart. After processing on one tool, the cart along with the FOUP can be moved to the next process tool for further processing. The FOUP can stay on the same cart until all processing is completed. This is especially useful for moving priority lots through the fabrication facility quickly.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: July 15, 2003
    Assignee: ASM America, Inc.
    Inventor: Ravinder K. Aggarwal
  • Publication number: 20030129044
    Abstract: Embodiments of the present invention provide structures for reducing erosion of a slit valve utilized in the fabrication of semiconductor devices. Specifically, non-metallic slit valve components such as a compressible sealing member and a barrier that assist in sealing the valve closure against the slit valve seat, are positioned on the valve seat rather than on the valve closure. This orientation removes the seal and the seal barrier from the direct line of sight of the plasma within the processing chamber, reducing exposure of the sealing member and seal barrier slit valve components to erosion and thereby extending the lifetime of the valve.
    Type: Application
    Filed: January 7, 2002
    Publication date: July 10, 2003
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Charles S. Kunze, Andrew V. Le, Muhammad Rasheed