Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 6899507
    Abstract: Semiconductor processing equipment that has increased efficiency, throughput, and stability, as well as reduced operating cost, footprint, and faceprint is provided. Other than during deposition, the atmosphere of both the reaction chamber and the transfer chamber are evacuated using the transfer chamber exhaust port, which is located below the surface of the semiconductor wafer. This configuration prevents particles generated during wafer transfer or during deposition from adhering to the surface of the semiconductor wafer. Additionally, by introducing a purge gas into the transfer chamber during deposition, and by using an insulation separating plate 34, the atmospheres of the transfer and reaction chambers can be effectively isolated from each other, thereby preventing deposition on the walls and components of the transfer chamber.
    Type: Grant
    Filed: February 8, 2002
    Date of Patent: May 31, 2005
    Assignee: ASM Japan K.K.
    Inventors: Takayuki Yamagishi, Masaei Suwada, Takeshi Watanabe
  • Patent number: 6899765
    Abstract: A process chamber for processing or inspecting a substrate such as a semiconductor wafer and the like includes a internal chamber employing dynamic seals at the interface of relatively moving elements. In one embodiment, the internal chamber has a first element, such as a lid or cover, and a second element, such as the body of the chamber. The first element and the second element meet at the interface. The internal chamber may further include a substrate support, mounted inside the internal chamber, supporting a substrate. A first movement system may produce at least one type of relative movement between the first element and the second element. A second movement system may produce second relative movement between the second element and the substrate support. The resulting structure allows movement of the chamber, while maintaining pressure inside the chamber.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: May 31, 2005
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Igor Krivts, Eyal Kotik, Eitan Pinhasi, Hagay Cafri
  • Patent number: 6896466
    Abstract: A substrate processing apparatus includes a transport robot (TR1) formed with a telescopic vertical movement mechanism of a so-called telescopially nestable multi-tier construction. A drive mechanism (D1) is initially driven to move a support member (48) upwardly to simultaneously elevate a vertical movement member (42d). As the vertical movement member (42d) rises, a pulley (47c) simultaneously moves upwardly. As the pulley (47c) moves upwardly, a vertical movement member (42c) is lifted upwardly by a belt (L1). Similar actions elevate a pair of transport arms (31a, 31b) provided on the top of a vertical movement member (42a). The increase in the number of tiers of the nestable multi-tier structure precludes the increase in height of the transport robot (TR1) in its retracted position.
    Type: Grant
    Filed: March 12, 2002
    Date of Patent: May 24, 2005
    Assignee: Dainippon Screen Mfg. Co, Ltd.
    Inventors: Joichi Nishimura, Masami Ohtani, Yasuhiko Hashimoto
  • Patent number: 6895685
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: October 21, 2003
    Date of Patent: May 24, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6886272
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: May 3, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6885906
    Abstract: A vacuum processing method and apparatus having one cassette containing wafers which are to be transferred in a preset transferring order to a processing unit via a transfer unit, and another cassette containing wafers to be processed on an emergency basis. Automatic control of processing a wafer from the one cassette is effected, and in response to a request for emergency processing of a water of the another cassette, the automatic processing control of the one wafer from the one cassette is temporarily stopped while completing processing of the wafer of the one cassette returning the same to the one cassette. Emergency processing is initiated by transferring a wafer from the another cassette to the vacuum processing unit via the transfer unit. The processing of the emergency wafer is completed and the processed emergency wafer is returned to the another cassette.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: April 26, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6881269
    Abstract: The invention provides a system and method for plasma coating of an optical lens, particularly lenses made of silicone-containing polymer. A system of the invention comprises an entry chamber, a coating chamber downstream from the entry chamber, and an exit chamber downstream from the coating chamber. The coating chamber includes a pair of spaced apart electrodes disposed therein. A system of the invention is configured in a way so that a lens may enter, pass through and exit the system without requiring the coating chamber to be repeatedly pressurized and depressurized.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: April 19, 2005
    Assignee: Novartis AG
    Inventors: Yasuo Matsuzawa, Lynn Cook Winterton
  • Patent number: 6877946
    Abstract: A loadlock chamber assembly includes a loadlock chamber, a sub-chamber removably attached to the loadlock chamber and a first robot arm having a primary pivot axis within the sub-chamber, wherein the first robot arm can move a substrate from a position approximately in a center of the loadlock chamber to a position outside the loadlock chamber.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: April 12, 2005
    Assignee: Axcelis Technologies, Inc.
    Inventors: David William Kinnard, Daniel Richardson
  • Patent number: 6875306
    Abstract: A vacuum processing device includes at least one vacuum processing chamber for performing predetermined treatments to a wafer being transferred to a predetermined position within the chamber, an atmospheric transfer equipment for transferring a wafer in atmospheric air to a vacuum transfer equipment which is disposed within a vacuum transfer chamber connecting the atmospheric air and the vacuum processing chambers for transferring the wafer received from the atmospheric transfer equipment to the predetermined position within the vacuum processing chamber, and wafer position sensors disposed near the ingress path leading into the processing chamber for detecting the displacement of the wafer being transferred.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: April 5, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Naoyuki Tamura
  • Patent number: 6869263
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, apparatus for removing a door of a substrate magazine and thus opening the substrate magazine, and for operating the aperture closure to open the aperture, and an elevator for precisely positioning the open substrate magazine along a vertical axis within a usable range of motion. The station may also include a sensor for mapping locations of the substrates, and a mini-environment for interfacing the station to a substrate processing system.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: March 22, 2005
    Assignee: Brooks Automation, Inc.
    Inventor: Ulysses Gilchrist
  • Patent number: 6866460
    Abstract: An apparatus for loading media carriers into a processing chamber, including a pivoting arm mechanism which accepts a carrier in at a lower position, locks it on the arm, and provides a power-assisted movement of the carrier lifting it into an upper position proximate the opening to the processing chamber, where the arm is locked in place, with the carrier then pushed along a ramp on the arm directly into the processing chamber.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: March 15, 2005
    Assignee: Semitool, Inc.
    Inventors: Jeffry Alan Davis, Andro Ardiano Purnomohadi, Daniel Thomas Potter
  • Patent number: 6860965
    Abstract: In one embodiment, a wafer processing system has a loading station, a process module, and a load lock directly adjacent to the process module. The load lock has a small volume and can include integrated heating/cooling units. The load lock also has a wafer transfer mechanism for placing a wafer directly to the process module. The wafer processing system does not employ a transfer chamber to transport wafers between the load lock and the process module. Instead, a wafer is directly transferred from the load lock to the process module using the wafer transfer mechanism. Not requiring a transfer chamber not only improves the throughput of the wafer processing system, but also lowers its complexity and component count as well. The throughput of the wafer processing system is also improved by using a small volume load lock with integrated cooling/heating units.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: March 1, 2005
    Assignee: Novellus Systems, Inc.
    Inventor: Craig Lyle Stevens
  • Patent number: 6860710
    Abstract: In one embodiment, a lifting apparatus in an integrated circuit fabrication system includes a sliding mechanism supporting a rotatable assembly and an actuator configured to vertically move the sliding mechanism. Among other advantages, the apparatus allows the rotatable assembly to be lifted and then rotated to allow access to interior components, for example. In one embodiment, the rotatable assembly comprises a lid of a process chamber.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: March 1, 2005
    Assignee: Novellus Systems, Inc.
    Inventors: Masato Toshima, Linh X. Can, Jay C. Cho
  • Patent number: 6858085
    Abstract: An apparatus for sequential and isolated processing of a workpiece comprises a two compartment chamber and a mechanism to transfer the workpiece from one compartment to the other compartment. The transfer mechanism comprises two doors that seal the pathway between the two compartments between movement so that the two compartments are isolated and the workpiece can be processed sequentially and isolatedly in each compartment. The apparatus further comprises components to enable the processing of a workpiece: a delivery system to delivery precursor, a plasma source to generate a plasma and a vacuum pump to maintain a sub-atmospheric pressure. The preferred method of processing a workpiece is to deposit or adsorb a thin layer in the first compartment and then to transfer the workpiece to the second compartment for a reaction or a plasma reaction on the existing thin layer.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: February 22, 2005
    Assignee: Tegal Corporation
    Inventors: Tue Nguyen, Tai Dung Nguyen, Craig Alan Bercaw
  • Patent number: 6853872
    Abstract: A vacuum processing method and apparatus processing units for conducting processing, a transfer processing unit connected with the plurality of processing units for carrying wafers, and a control unit for controlling the processing units. A processing order information storing device stores a processing order of the wafers for the processing units, an operational information signal generating device generates an operational information signal indicating an operable or inoperable state of each of the processing units, an operational information signal storing device stores the operational information signal indicating the state of each of the processing units, and a control device matches and processes the processing order information and the operational information signal, and continues operation without using an inoperable processing unit while using other operable processing units.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: February 8, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Kouji Nishihata, Kazuhiro Joo, Shoji Ikuhara, Tetsuya Tahara, Shoji Okiguchi
  • Patent number: 6852194
    Abstract: Processing apparatus is disclosed, that comprises substrate container holding table that can hold substrate container that contains plurality of target substrates, first transferring chamber, disposed adjacent to the substrate container holding table, that maintains the interior at first pressure, first processing unit group, disposed around the first transferring chamber, that processes target substrate at the first pressure, first transferring mechanism, disposed in the first transferring chamber, that transfers target substrate, second transferring chamber, disposed adjacent to the first transferring chamber, that maintains the interior at second pressure, second processing unit group, disposed around the second transferring chamber, that processes target substrate at the second pressure, and second transferring mechanism, disposed in the second transferring chamber, wherein the first transferring mechanism and/or the second transferring mechanism has at least two transferring arms.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: February 8, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Minoru Matsushita, Yasushi Kodashima, Toshikazu Kumai
  • Patent number: 6852169
    Abstract: Plasma processing system and methods for stripping the buffer and, optionally, removing the cladding from an optical fiber. The plasma processing system includes a holder capable of holding one or more optical fibers such that a mid-span portion of each optical fiber is exposed to a plasma generated within a processing chamber of the system and the ends of each optical fiber are unaffected by the plasma treatment. Tapered transition zones are created between the plasma-treated portion of the optical fiber and the shielded ends. Treatment may be accomplished using a plasma containing atomic and molecular radicals and ions of fluorine and oxygen.
    Type: Grant
    Filed: May 14, 2002
    Date of Patent: February 8, 2005
    Assignee: Nordson Corporation
    Inventors: James P. Fazio, James D. Getty, Leslie D. Wood
  • Patent number: 6846380
    Abstract: An apparatus and method for processing a microelectronic substrate comprises a main chamber and a movable boundary. The main chamber comprises a main chamber wall enclosing a main chamber interior. The movable boundary is disposed within the main chamber interior, and is movable between a first position and a second position. At the first position, the movable boundary at least partially defines a sub-chamber in which a substrate can be processed. The sub-chamber is fluidly isolated from the main chamber interior, and provides an environment suitable for a high-pressure processing of the substrate such as cleaning or surface preparation. The sub-chamber can be maintained at a high pressure while the main chamber is maintained at either a low pressure, an atmospheric pressure, or at a vacuum. The apparatus can be directly coupled to an external substrate handling and/or fabrication module, such that the main chamber interior provides a buffer between the sub-chamber and the external module.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: January 25, 2005
    Assignee: The BOC Group, Inc.
    Inventors: C. John Dickinson, Frank Jansen, Daimhin P. Murphy
  • Patent number: 6843883
    Abstract: A vacuum processing apparatus 50 is provided with a bypass line 52 for causing a vacuum transfer chamber 4 and a load-lock chamber 12 to communicate with each other, and a bypass opening and shutting valve 54 for opening and shutting the corresponding bypass line 52, wherein by opening the bypass opening and shutting valve 54, a pressure-reduced state at the vacuum transfer chamber 4 side can be shifted to the load-lock chamber 12 side, and the pressure reduction of the load-lock chamber 12 can be carried out in a short time.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: January 18, 2005
    Assignee: TDK Corporation
    Inventors: Masanori Kosuda, Yoshihisa Tamagawa, Hideki Ishizaki
  • Patent number: 6841006
    Abstract: A substrate processing apparatus is disclosed. In one embodiment, the apparatus includes a first atmospheric deposition station and a second atmospheric deposition station. The second atmospheric deposition station comprises an atmospheric pressure vapor deposition chamber. A substrate handling system is adapted to transfer substrates between the first and the second atmospheric deposition stations.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: January 11, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Michael Barnes, Michael S. Cox, Canfeng Lai, John Parks
  • Patent number: 6841033
    Abstract: A plasma treatment system in which untreated workpieces are serially received one at a time on an infeed table but stored in parallel on the infeed table. The untreated workpieces are transferred simultaneously, in parallel, into a plasma treatment chamber. Thereafter, treated workpieces are transferred simultaneously, in parallel, out of the plasma treatment chamber onto an outfeed table; and the outfeed table serially discharges the treated workpieces one at a time from the outfeed table.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: January 11, 2005
    Assignee: Nordson Corporation
    Inventors: Robert S. Condrashoff, James P. Fazio, David E. Hoffman, James S. Tyler
  • Patent number: 6840732
    Abstract: This invention provides a transport apparatus having a simple configuration that can reduce its turning radius and transport semiconductor devices at high speed. The transport apparatus comprising the first and second arms having at a first end of each thereof a rotary drive shaft being arranged coaxially, and third and fourth arms rotatably linked at respective the first ends thereof to the respective second ends of the first and second arms. The second ends of the third and fourth arms are supported around centers of coaxially arranged spindles, respectively. The transport apparatus further comprises an articulating mechanism having an attitude control mechanism adapted to apply rotary forces with opposite phases to the respective spindles arranged at the third and fourth arms.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: January 11, 2005
    Assignee: ULVAC, Inc.
    Inventors: Hirofumi Minami, Junpei Yuyama
  • Patent number: 6837663
    Abstract: In a loading and unloading station for semiconductor processing installations, the object of the present invention is to ensure charging proceeding from transporting containers under clean room conditions. These transporting containers themselves serve as magazines for disk-shaped objects and are open laterally. It should also be possible, optionally, to load and unload a greater quantity of such transporting containers, wherein the exchange of transporting containers must be effected under favorable ergonomic conditions. According to the invention, the transporting container for loading, unloading and reloading of disk-shaped objects is coupled in a stationary manner by the container cover with the closure by using an adhering engagement. The charging opening and the transporting container are opened simultaneously in that the container cover and the closure are moved down jointly into the semiconductor processing installation.
    Type: Grant
    Filed: June 12, 2003
    Date of Patent: January 4, 2005
    Assignee: Brooks Automation, Inc.
    Inventors: Andreas Mages, Werner Scheler, Herbert Blaschitz, Alfred Schulz, Heinz Schneider
  • Patent number: 6830649
    Abstract: A semiconductor manufacturing apparatus comprising an integrated measuring instrument for measuring the form or size of the element to be formed into a wafer, an etching unit for etching the wafer by making use of plasma generated under reduced pressure, an ashing unit for ashing the etched wafer, a wetting unit for wetting the etched wafer, a drying unit for drying the wafer which has gone through the wetting treatment, a transport means whereby the wafers housed in a wafer cassette are transported one by one successively to said metrology and each treating unit, and a transport chamber provided with a wafer cassette inlet for receiving a cassette containing sheets of wafer to be etched, in which said metrology, etching unit, ashing unit, wetting unit, drying unit and transport means are connected by a depressurizable transport passage.
    Type: Grant
    Filed: February 25, 2002
    Date of Patent: December 14, 2004
    Assignees: Hitachi, Ltd., Trecenti Technologies, Inc., Hitachi High-Technologies, Inc.
    Inventors: Akira Kagoshima, Hideyuki Yamamoto, Yoshimi Torii, Tatehito Usui
  • Patent number: 6827546
    Abstract: A modular frame assembly for a wafer fabrication system comprising at least one base casting and at least one upstanding pod door opening casting. The at least one base casting and at least one upstanding pod door opening casting are self registering and allow frames for wafer fabrication systems of any normally desired configuration to be produced out of a small number of cast modules. More specifically, in a preferred embodiment, frames configured to mate with one to four commercially available loaders may be readily assembled out of the aluminum castings.
    Type: Grant
    Filed: August 19, 2002
    Date of Patent: December 7, 2004
    Assignee: Brooks-Pri Automation, Inc.
    Inventors: Rafael Gomez, Abdul Ghafar, Jonathan E. Borkowski, Kay Coghlan, Andres Cannavo, Rodney C. Ow
  • Patent number: 6827789
    Abstract: An apparatus for the treatment of semiconductor wafers, comprising a supportive frame and a process table arranged on the supportive frame. The process table comprises a stationary upper platen and a stationary lower plate. An intermediate indexing plate is rotatively arranged between the upper platen and the lower plate. At least one wafer support pin is attached to the indexing plate for the support of a wafer by the indexing plate. An upper housing is arranged on the upper platen and an outer lower housing is arranged on the lower plate. A displacable lower isolation chamber is disposed within the outer lower housing, being displacable against the indexing plate to define a treatment module between the upper housing and the lower isolation chamber in which the wafer is treated. A wafer supporting treatment plate is arranged within the lower isolation chamber, for controlled rapid treatment of a wafer within the treatment module.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: December 7, 2004
    Assignee: Semigear, Inc.
    Inventors: Chunghsin Lee, Jian Zhang, Darren M Simonelli, Keith D. Mullius, David A. Wassen
  • Patent number: 6827788
    Abstract: A substrate 9 is carried by a carry system in sequence, via a direction-altering chamber 8 to which a plurality of vacuum chambers comprising processing chambers 21 to 24 are hermetically-connected in the perimeter, to the plurality of processing chambers 21 to 24. The carry system carries the substrate 9 horizontally by moving, using a horizontal moving mechanism, a substrate holder 92 which holds two substrate 9 upright in such a way that the plate surface thereof forms a holding angle to the horizontal of between 45° or more and 90° or less. The direction-altering chamber 8 includes a direction altering mechanism 80 that alters the direction of movement by the horizontal movement mechanism. The direction altering mechanism 80 alters the direction of movement by rotating the substrate holder 92 and the horizontal movement mechanism about the vertical rotating axis coincident with the center axis of the direction-altering chamber 8.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: December 7, 2004
    Assignee: Anelva Corporation
    Inventor: Nobuyuki Takahashi
  • Patent number: 6828235
    Abstract: It is an object of the present invention to adjust the transfer environment of a substrate in order to prevent contamination of the substrate surface by impurities. A semiconductor manufacturing apparatus comprises a load-lock chamber 1 in which substrate exchange with the outside is performed, a wafer process chamber 2 in which the wafer is subjected to a predetermined processing, and a transfer chamber 3 in which the wafer is transferred between the load-lock chamber 1 and the wafer process chamber 2. In a semiconductor manufacturing method in which this semiconductor manufacturing apparatus is used to treat a substrate, an inert gas (N2) is supplied to and exhausted from the load-lock chamber 1, the transfer chamber 3, and the wafer process chamber 2 while the substrate is being transferred from the load-lock chamber 1 to the wafer process chamber 2 through the transfer chamber 3, and the substrate transfer is carried out with a predetermined pressure maintained.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: December 7, 2004
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Satoshi Takano
  • Patent number: 6824617
    Abstract: An input/output valve switching apparatus of a semiconductor manufacturing system minimizes a vibration set up while operating an input/output valve for opening and closing a wafer-transfer passage that connects chambers of the system. The switching apparatus includes a valve actuator having a close port and an open port, a first fluid line connected to the close port, a second fluid line connected to the open port, first flow regulators installed in the first and second fluid lines, respectively, to regulate the flow rate of fluid, and second fluid flow regulators installed in the first and second fluid lines to regulate the flow rate of the fluid that has passed. The second fluid flow regulators can prevent a rapid introduction of the fluid into the actuator.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Sik Yang, Jin-Man Kim, Young-Min Min, Chang-Hyun Jo
  • Patent number: 6824343
    Abstract: A method and apparatus for supporting a substrate is generally provided. In one aspect, an apparatus for supporting a substrate includes a support plate having a first body disposed proximate thereto. A first pushing member is radially coupled to the first body and adapted to urge the substrate in a first direction parallel to the support plate when the first body rotates. In another aspect, a load lock chamber having a substrate support that supports a substrate placed thereon includes a cooling plate that is moved to actuate at least one alignment mechanism. The alignment mechanism includes a pushing member that urges the substrate in a first direction towards a center of the support. The pushing member may additionally rotate about an axis perpendicular to the first direction.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: November 30, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Suhail Anwar, Toshio Kiyotake, Hung T. Nguyen
  • Patent number: 6814813
    Abstract: A chemical vapor deposition apparatus includes a subatmospheric substrate transfer chamber. A subatmospheric deposition chamber is defined at least in part by a chamber sidewall. A passageway in the chamber sidewall extends from the transfer chamber to the deposition chamber. Semiconductor substrates pass into and out of the deposition chamber through the passageway for deposition processing. A mechanical gate is included within at least one of the deposition chamber and the sidewall passageway, and is configured to open and close at least a portion of the passageway to the chamber. A chamber liner apparatus of a chemical vapor deposition apparatus forms a deposition subchamber within the chamber. At least a portion of the chamber liner apparatus is selectively movable to fully expose and to fully cover the passageway to the chamber.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: November 9, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ross S. Dando, Craig M. Carpenter, Philip H. Campbell, Allen P. Mardian
  • Patent number: 6811369
    Abstract: In a semiconductor fabrication apparatus, a pod that receives a single substrate using a substrate supporting table and a lid member in a sealing state is loaded from outside of a chamber and the pod is opened within the chamber so as to enable the substrate received in the pod to be held and managed. The semiconductor fabrication apparatus includes a first pod conveyance device that transfers the pod from a pod loading position to a pod opening position, a pod opening device that causes the lid member of the pod transferred by said first pod conveyance device to be left outside the chamber and causes the supporting table to be separated into the chamber from the pod. A substrate storage rack provided within the chamber stores a plurality of substrates, and a substrate carrying device provided within the chamber takes out the substrate on the substrate supporting table and puts the substrate into the substrate storage rack.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: November 2, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kohei Yamada
  • Patent number: 6808352
    Abstract: A substrate container having substrates stored therein and sealed with a door is placed onto a load port apparatus provided on a substrate processing system, and a door of the load port apparatus is docked with the door of the substrate container. An inside of the substrate container is pressurized before opening of the door of the substrate container before the door of the substrate container is opened and the substrates stored in the substrate container is transported to the substrate processing system.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: October 26, 2004
    Assignee: Semiconductor Leading Edge Technolgies, Inc.
    Inventor: Hisaharu Seita
  • Patent number: 6800172
    Abstract: A semiconductor substrate processor includes a substrate transfer chamber and a plurality of substrate processing chambers connected therewith. An interfacial structure is received between at least one of the processing chambers and the transfer chamber. The interfacial structure includes a substantially non-metallic, thermally insulative mass of material interposed between the one processing chamber and the transfer chamber. The mass is of sufficient volume to effectively reduce heat transfer from the processing chamber to the transfer chamber than would otherwise occur in the absence of said mass of material. An interfacial structure includes a body having a substrate passageway extending therethrough. The passageway includes walls at least a portion of which are substantially metallic. The body includes material peripheral of the walls which is substantially non-metallic and thermally insulative. The substantially non-metallic material has mounting openings extending at least partially therein.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: October 5, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Ross S. Dando, Allen P. Mardian, Kevin T. Hamer, Raynald B. Cantin, Philip H. Campbell, Kimberly R. Tschepen, Randy W. Mercil
  • Patent number: 6800803
    Abstract: A semiconductor manufacturing apparatus includes a leakage preventing device for preventing outward leakage of electromagnetic waves through an opening defined when a pod having a substrate accommodated therein is mounted on the semiconductor manufacturing apparatus. The leakage preventing device includes at least one electromagnetic wave shielding plate and is provided at the semiconductor manufacturing apparatus side. The apparatus also includes a first electromagnetic wave shielding plate, which is openable and closable so that an opening defined when a carrier in the standard mechanical interface pod is moved downwardly is closed by the plate, and a second electromagnetic wave shielding plate, which has an openable and closable slit provided between an indexer for moving the carrier in the standard mechanical interface pod downwardly and a conveyance robot for conveying the substrate.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: October 5, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Mitsuji Marumo
  • Patent number: 6799932
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: October 5, 2004
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6793735
    Abstract: A method and apparatus are provided for forming a silicide on a semiconductor substrate by integrating under a constant vacuum the processes of removing an oxide from a surface of a semiconductor substrate and depositing a metal on the cleaned surface without exposing the cleaned surface to air. The method and apparatus of the present invention eliminates the exposure of the cleaned substrate to air between the oxide removal and metal deposition steps. This in-situ cleaning of the silicon substrate prior to cobalt deposition provides a cleaner silicon substrate surface, resulting in enhanced formation of cobalt silicide when the cobalt layer is annealed.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: September 21, 2004
    Assignee: International Business Machines Corporation
    Inventors: Marc W. Cantell, Jerome B. Lasky, Ronald J. Line, William J. Murphy, Kirk D. Peterson, Prabhat Tiwari
  • Patent number: 6781139
    Abstract: An apparatus in combination with a load lock of an ion implanter comprises a cover adjacent an isolation valve slot of the load lock. The cover defines an aperture generally conforming to the size and shape of the load, or wafer, within the load lock with sufficient clearance for a robot arm to pick the wafer from within the load lock and transfer the wafer to the implant chamber. The cover masks a portion of the slot so as to reduce the opening between the load lock and the implant chamber of the ion implanter. The smaller opening reduces the pressure burst from the load lock to the implant chamber when the isolation valve and slot is opened. By reducing the pressure burst, the cover can shorten the recovery time for the implant chamber to reach operating pressure.
    Type: Grant
    Filed: September 25, 2002
    Date of Patent: August 24, 2004
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Morgan D. Evans
  • Patent number: 6776567
    Abstract: In a first aspect, a valve/sensor assembly is provided that includes a door assembly. The door assembly has (1) a first position adapted to seal an opening of a chamber; (2) a second position adapted to allow at least a blade of a substrate handler to extend through the opening of the chamber; and (3) a mounting mechanism adapted to couple the door assembly to the chamber. The valve/sensor assembly also includes a sensor system having a transmitter and a receiver adapted to detect a presence of a substrate and to communicate through at least a portion of the door assembly. Systems, methods and computer program products are provided in accordance with this and other aspects.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: August 17, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Brian Johnson, Edward Ng, Justin Mauck, Edward R. Dykes, Joseph Arthur Kraus
  • Publication number: 20040158348
    Abstract: A reticle storage system includes a reticle rack having a series of lateral slots, each for storing a reticle. Access to the reticles is provided on a lateral side of the rack. The enclosure has a series of doors for providing access to the reticles in the slots. An air circulation system flows filtered air past the reticles in the rack to prevent contaminants from accumulating on the reticles. The air circulation system is capable of providing positive air pressure within the enclosure with one door open, thereby preventing contaminants from entering the enclosure through the open door.
    Type: Application
    Filed: February 9, 2004
    Publication date: August 12, 2004
    Applicant: R. Foulke Development Company, LLC
    Inventors: Richard F. Foulke, Richard F. Foulke, Cord W. Ohlenbusch, Takman Lui
  • Patent number: 6773158
    Abstract: In a method apparatus for measuring the temperature of a semiconductor substrate during processing thereof in a processing chamber, a resonant circuit formed on the substrate surface is energized by an electromagnetic field radiation device, and disturbances in the electromagnetic field are detected to determine the resonant frequency of the resonant circuit. The temperature of the substrate is determined as a function of the resonant frequency. The substrate is moved into and out of processing chamber by a transfer arm, and the radiation device is disposed on the transfer arm or mounted on the processing chamber. Multiple resonant circuits may be provided, which are energized by movement of the transfer arm, without transferring the substrate.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: August 10, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 6767170
    Abstract: A wafer holder has a set of minimum contact wafer support members predefining support member contacting portions on a planar wafer surface of a wafer. The wafer chuck has a wafer support region for contacting the planar wafer surface. The wafer support region of the chuck includes recesses configured at predefined positions corresponding to support member contacting portions of the lower wafer surface. The wafer handling system further includes a wafer transport device including a rotational position adjusting device for adjusting the rotational position of a wafer that is transported between the wafer holder and the wafer chuck. Thereby, elevations on the lower wafer surface, like scratches or deposited material which are produced by the contact between the support members and the wafer, are encapsulated by the recesses of the wafer chuck. A method for moving a wafer between a wafer holder and a wafer chuck is also provided.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: July 27, 2004
    Assignee: Infineon Technologies SC300 GmbH & Co. KG
    Inventors: Wolfram Köstler, Günther Hraschan
  • Patent number: 6764265
    Abstract: Embodiments of the present invention provide structures for reducing erosion of a slit valve utilized in the fabrication of semiconductor devices. Specifically, non-metallic slit valve components such as a compressible sealing member and a barrier that assist in sealing the valve closure against the slit valve seat, are positioned on the valve seat rather than on the valve closure. This orientation removes the seal and the seal barrier from the direct line of sight of the plasma within the processing chamber, reducing exposure of the sealing member and seal barrier slit valve components to erosion and thereby extending the lifetime of the valve.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: July 20, 2004
    Assignee: Applied Materials Inc.
    Inventors: Charles S. Kunze, Andrew V. Le, Muhammad Rasheed
  • Patent number: 6759336
    Abstract: Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove absorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: July 6, 2004
    Assignee: Lam Research Corporation
    Inventors: Robert Chebi, David Hemker
  • Patent number: 6752580
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: June 22, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6753945
    Abstract: A method for transferring one or more substrates or masks in a storage box to an apparatus for handling, processing or using the substrates or masks or vice versa, the storage box including a cover having an openable cover part. The method includes providing the storage box onto an openable wall part of a wall of an enclosed protective environment of the apparatus such that the openable cover part overlaps the openable wall part, the protective environment being adapted to be filled with an inert gas or to be evacuated; opening the openable cover part and the openable wall part, whereby the cover of the storage box forms part of the wall of the protective environment and an inside of the storage box becomes part of the protective environment; and transferring at least one of the substrates or masks from an inside space of the storage box to an inside space of the protective environment, or vice versa.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: June 22, 2004
    Assignee: ASML Netherlands B.V.
    Inventors: Gert-Jan Heerens, Bastiaan Lambertus Wilhelmus Marinus Van De Ven, Robert Gabriel Maria Lansbergen, Erik Leonardus Ham
  • Patent number: 6752579
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: September 20, 2001
    Date of Patent: June 22, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6748293
    Abstract: Methods and apparatus for high speed workpiece handling are provided. The method for workpiece handling includes removing a workpiece from a first cassette with a first robot, transferring the workpiece from the first robot directly to a second robot without transferring the workpiece to a transfer station, placing the workpiece on a workpiece holder at a processing station with the second robot, and transferring the workpiece from the workpiece holder to the first cassette with the first robot following processing. End effectors of the first and second robots may each have a plurality of vertical positions for efficient workpiece handling. Displacement error and rotational error of the workpiece may be sensed and corrected without use of a transfer station. The methods and apparatus may be used for handling semiconductor wafers.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: June 8, 2004
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Grant Kenji Larsen
  • Patent number: 6746197
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: June 8, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Patent number: 6746196
    Abstract: A vacuum treatment device, comprising a vacuum treatment chamber (1) etching a semiconductor wafer (W) as a body to be treated and a preliminary vacuum chamber (2) communicating with the vacuum treatment chamber (1), wherein a transfer arm (5) and first and second buffers (6, 7) for temporarily supporting the wafer (W) are installed in the preliminary vacuum chamber (2), the transfer arm (5) is provided with a flexible arm part (5a) and a support part (16) supporting the wafer (W), the arm part (5a) is extended and retracted by the rotations of a drive side swing arm (14) and a driven side swing arm (15) forming the arm (5a) so as to move the support part (16) straight forward and backward while maintaining it in its attitude, and the first and second buffers (6, 7) are disposed on the motion route of the support part (16) of the transfer arm (5).
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: June 8, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Jun Ozawa, Jun Hirose, Eiji Hirose, Hiroshi Koizumi