Including Wafer Charging Or Discharging Means For Vacuum Chamber Patents (Class 414/939)
  • Patent number: 6585470
    Abstract: A system for transporting substrates into a clean room is provided. The system has an isolation chamber located between the clean room and a staging area. A first movable closure is coupled to the staging area side of the isolation chamber and is adapted to open a substrate shipping container. A second movable closure is coupled to the clean room side of the isolation chamber and is adapted to open a substrate interprocess container. A substrate transfer robot is located within the isolation chamber and is adapted to transfer substrates from the substrate shipping container, opened by the first movable closure, to the substrate interprocess container opened by the second movable closure.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: July 1, 2003
    Assignee: Brooks Automation, Inc.
    Inventor: Peter Van Der Meulen
  • Publication number: 20030113189
    Abstract: A system and method of manufacturing wafers are provided suitable for a semiconductor manufacturing system and a method thereof capable of shortening the processing period composed of a series of processes applied to objects to be processed, mainly carry out processes and conveyance peace by peace, and which can manufacture even various kings of products. The system is provided with a plurality of processing units each having therein a conveying mechanism, and is provided therein with a conveyer device for conveying the objects to be processed to the processing units.
    Type: Application
    Filed: February 27, 2002
    Publication date: June 19, 2003
    Inventors: Tetsunori Kaji, Yoichi Uchimaki, Yuko Egawa
  • Patent number: 6580955
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: June 17, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6568899
    Abstract: A wafer processing system occupies minimal floor space by using vertically mounted modules such as reactors, load locks, and cooling stations. Further saving in floor space is achieved by using a loading station which employs rotational motion to move a wafer carrier into a load lock. The wafer processing system includes a robot having extension, rotational, and vertical motion for accessing vertically mounted modules. The robot is internally cooled and has a heat resistant end-effector, making the robot compatible with high temperature semiconductor processing.
    Type: Grant
    Filed: November 30, 1999
    Date of Patent: May 27, 2003
    Assignees: WaferMasters, Inc., Tokyo Electron Limited
    Inventors: Hiromitsu Kuribayashi, Woo Sik Yoo
  • Patent number: 6567725
    Abstract: Apparatus for teaching robot station location relative to a work piece apparatus includes an attachment that can be temporarily coupled to the apparatus and positioned in known relationship to the robot station location. A plurality of positional sensors are mounted on the attachment ring, the sensors each configured to produce a signal when a work piece carried by a robot arm is positioned a predetermined distance from the sensor. A signal receiver is configured to receive signals from the sensors and to indicate which of the sensors has produced the signal. The indication may be the activation of an LED display that indicates to an operator what the next movement of the robot arm should be in order to center the work piece with respect to the robot station location.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 20, 2003
    Assignee: Speedfam-Ipec Corporation
    Inventors: Ann Wilkey, Michael Smigel, Richard J. Stewart, III
  • Patent number: 6558100
    Abstract: The vacuum processing apparatus has an atmospheric loader having a plurality of cassette tables and a transport unit for carrying wafers, a vacuum loader equipped with vacuum wafer-processing chambers and a vacuum transport chamber communicating with the processing chambers via gate valves, and a locking unit provided with a loading lock chamber and unloading lock chambers that have gate valves for connecting the atmospheric transport unit and vacuum transport chamber; wherein two etching chambers, formed by UHF-ECR reactors, are arranged symmetrically with respect to an axial line passing through the middle of the vacuum transport chamber and locking unit, only at the opposite side of the locking unit across the vacuum transport chamber, and at an acute angle with respect to the vacuum transport chamber, and UHF-ECR antennas, almost parallel to the foregoing axial line, are opened at the opposite side to that of the vacuum transport chamber.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: May 6, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Hironobu Kawahara, Mitsuru Suehiro, Kazue Takahashi, Hideyuki Yamamoto, Katsuya Watanabe
  • Patent number: 6556887
    Abstract: Generally, a method of determining a position of a robot is provided. In one embodiment, a method of determining a position of a robot comprises acquiring a first set of positional metrics, acquiring a second set of positional metrics and resolving the position of the robot due to thermal expansion using the first set and the second set of positional metrics. Acquiring the first and second set of positional metrics may occur at the same location within a processing system, or may occur at different locations. For example, in another embodiment, the method may comprise acquiring a first set of positional metrics at a first location proximate a processing chamber and acquiring a second set of positional metrics in another location. In another embodiment, substrate center information is corrected using the determined position of the robot.
    Type: Grant
    Filed: July 12, 2001
    Date of Patent: April 29, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Marvin L. Freeman, Jeffrey C. Hudgens, Damon Keith Cox, Chris Holt Pencis, Michael Rice, David A. Van Gogh
  • Patent number: 6553280
    Abstract: In a first aspect, a valve/sensor assembly is provided that includes a door assembly. The door assembly has (1) a first position adapted to seal an opening of a chamber; (2) a second position adapted to allow at least a blade of a substrate handler to extend through the opening of the chamber; and (3) a mounting mechanism adapted to couple the door assembly to the chamber. The valve/sensor assembly also includes a sensor system having a transmitter and a receiver adapted to detect a presence of a substrate and to communicate through at least a portion of the door assembly. Systems, methods and computer program products are provided in accordance with this and other aspects.
    Type: Grant
    Filed: June 30, 2001
    Date of Patent: April 22, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Brian Johnson, Edward Ng, Justin Mauck, Edward R. Dykes, Joseph Arthur Kraus
  • Patent number: 6550158
    Abstract: An apparatus and method for reducing particles in reactors. The apparatus includes an enclosure with a wafer handling chamber connected by an isolation gate valve to a processing chamber. Pipes deliver purge gas into the wafer handling chamber to eliminate particles from the enclosure. A pilot operated back pressure regulator regulates the delivery and removal of the purge gas. The apparatus actuates the isolation gate valve in a controlled rate to reduce disturbances from the purge gas entering into the enclosure. A Bernoulli wand is provided for lifting and holding a single semiconductor wafer. A dome loaded regulator actuated by a pilot gas is used to control the ramp rates of gas to the Bernoulli wand. The ramping rates of the Bernoulli wand gas can be controlled by restrictions and check valves in the pilot gas line. The apparatus also utilizes ionizers in the purge gas lines entering the wafer handling chamber and load locks.
    Type: Grant
    Filed: December 1, 2000
    Date of Patent: April 22, 2003
    Assignee: ASM America, Inc.
    Inventors: Allan Doley, Dennis Goodwin, Kenneth O'Neill, Gerben Vrijburg, David Rodriguez, Ravinder Aggarwal
  • Patent number: 6551044
    Abstract: A loadlock chamber for a semiconductor processing apparatus comprises an index platform or registration plate, a shaft extending through a wall of the chamber to actuate the platform, and a bellows located on the outside of the chamber to isolate the shaft from the external environment. A seal is placed between the bottom of the registration plate and the wall of the chamber so as to isolate the space under the registration plate and within the bellows from the remainder of the chamber when the platform is in a fully lowered position. A gutter is formed in a wall of the chamber below the registration plate to catch particulate matter, such as broken wafer particles. A method of accessing the interior of a loadlock chamber limits exposure of the space within the bellows to the external environment.
    Type: Grant
    Filed: September 14, 1999
    Date of Patent: April 22, 2003
    Assignee: ASM America, Inc.
    Inventor: Ronald R. Stevens
  • Patent number: 6551405
    Abstract: A tool and method for reloading source materials in a vapor phase deposition (VPD) environment is disclosed. The tool and method does not require the venting of the VPD environment in order to perform its functions. The tool may reload source material into effusion cells or electron beam cells of a molecular beam epitaxy (MBE) machine without venting the growth chamber.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: April 22, 2003
    Assignee: The Board of Trustees of the University of Arkansas
    Inventors: Paul M. Thibado, Vincent P. LaBella, Daniel W. Bullock
  • Patent number: 6549825
    Abstract: An alignment apparatus which obtains an amount of correction for centering a semiconductor wafer from four points of a wafer edge detected by noncontact proprioceptors in a wafer delivery position P1 where the semiconductor wafer is passed to a wafer carrying unit from a wafer carrying robot and centers the semiconductor wafer.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: April 15, 2003
    Assignee: Olympus Optical Co., Ltd.
    Inventor: Shunsuke Kurata
  • Patent number: 6540869
    Abstract: A semiconductor processing system comprises a first vacuum processing unit and a second vacuum processing unit connected thereto. The first and second vacuum processing units respectively comprise I/O transfer chambers. Casings of the transfer chambers are connected to each other, and a common transfer robot is arranged in the casings. The transfer robot is moved along horizontal guide rails and formed by connecting rails of the transfer chambers. A rail adjusting mechanism is provided to obtain linearity of the horizontal rails.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: April 1, 2003
    Assignees: Tokyo Electron Limited, Shinko Electric Co., Ltd.
    Inventors: Hiroaki Saeki, Yasushi Taniyama
  • Patent number: 6540466
    Abstract: An improved apparatus and method is provided for storing semiconductor wafer carriers, and for loading wafers or wafer carriers to a fabrication tool. The apparatus preferably provides an elevated port for receipt of wafer carriers from an overhead factory transport, allows for local interconnection among a plurality of the inventive apparatuses, and enables independent loading of the factory load port and the tool load port. An inventive wafer handling method which divides a lot of wafers into sublots and distributes the sublots among tools configured to perform the same process is also provided.
    Type: Grant
    Filed: July 9, 1999
    Date of Patent: April 1, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Robert Z. Bachrach
  • Patent number: 6537012
    Abstract: The vacuum processing apparatus has an atmospheric loader having a plurality of cassette tables and a transport unit for carrying wafers, a vacuum loader equipped with vacuum wafer-processing chambers and a vacuum transport chamber communicating with the processing chambers via gate valves, and a locking unit provided with a loading lock chamber and unloading lock chambers that have gate valves for connecting the atmospheric transport unit and vacuum transport chamber; wherein two etching chambers, formed by UHF-ECR reactors, are arranged symmetrically with respect to an axial line passing through the middle of the vacuum transport chamber and locking unit, only at the opposite side of the locking unit across the vacuum transport chamber, and at an acute angle with respect to the vacuum transport chamber, and UHF-ECR antennas, almost parallel to the foregoing axial line, are opened at the opposite side to that of the vacuum transport chamber.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: March 25, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Hironobu Kawahara, Mitsuru Suehiro, Kazue Takahashi, Hideyuki Yamamoto, Katsuya Watanabe
  • Patent number: 6536136
    Abstract: To provide a substrate transfer apparatus capable of forming a hermetically-closed space integrated between a normal substrate processing apparatus which is not integrated with a substrate transfer unit and a substrate transfer container, the substrate transfer apparatus includes a main body in a box-like shape containing a substrate W, an upper opening (first opening) provided at the main body and connected to a bottom opening (substrate transfer port) of a container while maintaining an air tight state against outside air, a side wall opening (second opening) provided at the main body and connected to a substrate transfer port of the transfer processing apparatus while maintaining the air tight state against outside air, an exhaust pipe connected to the main body, an opening/closing mechanism for opening and closing a bottom lid relative to the bottom opening in a state in which the upper opening and the bottom opening of the container are connected and transfer mechanisms installed in the main body for tra
    Type: Grant
    Filed: January 26, 2001
    Date of Patent: March 25, 2003
    Assignee: Sony Corporation
    Inventor: Koichiro Saga
  • Publication number: 20030053893
    Abstract: A substrate processing apparatus includes at least two processing units provided around a substrate transfer chamber including a substrate transfer device for transferring substrates, wherein said at least two processing units include at least one batch processing unit, an M number of product substrates being processed simultaneously in one batch process with M being set to be less than or equal to the number of product substrates carried by a product substrate carrier, and all the product substrates contained in a product substrate carried by the product substrate carrier being processed in one batch process of said at least one batch processing unit. A method for fabricating a semiconductor device includes the step of sequentially processing plural product substrates in at least two processing units arranged around a substrate transfer chamber.
    Type: Application
    Filed: August 29, 2002
    Publication date: March 20, 2003
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Tatsuhisa Matsunaga, Hiroshi Sekiyama, Kouichi Noto
  • Patent number: 6533521
    Abstract: Access to the interior of a substrate storage pod in which substrates such as semiconductor wafers are stored is gained using an access device provided within a micro environment enclosure. The access device has a telescoping enclosure door which serves to control access to the interior of the storage pod from the interior of the enclosure. A pre-aligner is mounted to the enclosure door, and substrates are passed through the pre-aligner as they are retrieved from the storage pod. The pre-aligner detects and adjusts the orientation of the substrates as necessary for processing. The level of the pre-aligner is incrementally adjusted to match that of a current substrate by incrementally adjusting the level of the enclosure door.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: March 18, 2003
    Assignee: Genmark Automation, Inc.
    Inventors: Alexander Todorov, Mila Genov
  • Patent number: 6530732
    Abstract: A load lock and related method of handling a substrate involves placing a substrate onto a vertically movable poppet and moving the poppet between two vertically opposed subchambers such that in moving the poppet toward one of the subchambers, that subchamber is sealed to atmosphere. The two subchamber system allows one substrate to be placed into a buffer and another substrate to be cooled at the same time. Also, the system allows for a slow vacuum to be made on the substrate in a subchamber to avoid undesirably loading the substrate by the otherwise immediate drop in pressure.
    Type: Grant
    Filed: May 26, 1998
    Date of Patent: March 11, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Victor J. Theriault, Mark Ives
  • Patent number: 6530733
    Abstract: A substrate processing pallet has a top surface and a plurality of side surfaces. The top surface has at least one recess adapted to receive a substrate. The recess includes a support structure adapted to contact a portion of a substrate seated in the recess and a plurality of apertures each adapted to accommodate a lift pin. Lift pins can extend through the apertures initially to support the substrate and retract to deposit the substrate onto the support structure. A side surface includes a process positioning feature adapted to engage with a feature located in a process chamber to position the pallet. A side surface includes a positioning feature adapted to engage with an end effector alignment feature to position the pallet with respect to the end effector during transport. A side surface includes support features adapted to engage with end effector support features to support the pallet during transport.
    Type: Grant
    Filed: July 27, 2001
    Date of Patent: March 11, 2003
    Assignee: Nexx Systems Packaging, LLC
    Inventors: Martin P. Klein, David Felsenthal, Piero Sferlazzo
  • Publication number: 20030044261
    Abstract: The semiconductor material handling system is an EFEM that may either mount to the front end of a processing tool or be integrated into the processing tool. The EFEM is built from a unified frame that the EFEM components, such as a wafer engine and a SMIF pod advance plate, may mount to. The frame serves as a common mounting structure that the EFEM components may use as a reference for alignment purposes. Since the EFEM components do not have to align with respect to the position of each other, the calibration, if any is required, is greatly simplified. The EFEM also has a reduced footprint, allowing the EFEM to mount to the front end of a processing tool and not extend to the fab floor. Thus, space is freed up between the EFEM and the fab floor. By way of example only, this space may be used as a maintenance access area to the processing tool without having to first remove the EFEM.
    Type: Application
    Filed: March 1, 2002
    Publication date: March 6, 2003
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 6520727
    Abstract: A modular sorter is disclosed in which modular sections maybe easily added and removed to add and remove load port assemblies as required by a particular wafer fabrication run. In one embodiment, a modular sorter according to the present invention include a two-wide modular section defining a minienvironment for the sorter, a wafer handling robot a pair of aligners and a centralized controller. The modular section of this embodiment includes a pair of side-by-side load port assemblies for receiving a container or open cassette and presenting the cassette to the minienvironment of the sorter for processing of the wafers therein. The present invention further includes a removable end panel. When it is desired to add additional modular sections to the sorter, the end panel is removed and replaced by a connector frame. The connector frame allows additional modular sections, including either one load port assembly or two load port assemblies, to be attached to the original modular section.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: February 18, 2003
    Assignee: Asyt Technologies, Inc.
    Inventors: Daniel Babbs, Timothy Ewald, Matthew Coady, William J. Fosnight
  • Patent number: 6520726
    Abstract: A substrate handling system with integrated door removal assembly for an environmentally controlled substrate processing chamber is provided. The system includes a robot positioned within the chamber. A drive mechanism is connected to the robot. A door interface mechanism is attached to the drive mechanism and includes a door key and a door key control assembly. The drive mechanism provides mechanical control of the door key control assembly such that that door key is manipulated to couple a substrate carrier door to a port door. The coupled doors are storable within the chamber or on the robot. The drive mechanism may also include a substrate end effector, thereby allowing the robot to transport substrates within the chamber. The robot is movable within the chamber to multiple processing stations.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: February 18, 2003
    Assignee: PRI Automation, Inc.
    Inventors: Gregory Cook, Craig Chidlow, Rodney Ow, Lang Van Nugyen, J. Rafael Gomez, Steve Reyling, Martin P. Aalund, Steven J. Remis
  • Patent number: 6522942
    Abstract: A semiconductor processing system includes a transfer apparatus for transferring a wafer. The transfer apparatus has a pick arm member with wings. The reference distances between the wafer located at the normal position and the wings are stored in a memory of a CPU. Detection ranges of line sensors are set in a standby position in front of a process chamber in order to detect the distances between the wafer and the wings. In the CPU, the amount of positional shift of the wafer is detected based on the reference distances and the detected distances.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: February 18, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Kondo, Hiroaki Saeki
  • Patent number: 6520315
    Abstract: The invention provides a gripper assembly comprising a mounting base having a first magnet coupled thereto and having a moveable gripper coupled to the mounting base. The moveable gripper has a second magnet coupled thereto and comprises an end effector adapted to contact a wafer. The first and second magnets are adapted so that the magnetic force therebetween biases the end effector away from the mounting base. An inventive method of gripping a substrate comprises coupling a moveable gripper to a mounting base and magnetically biasing the moveable gripper in a closed position.
    Type: Grant
    Filed: October 26, 2000
    Date of Patent: February 18, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Michael Sugarman, Boris I. Govzman
  • Publication number: 20030029696
    Abstract: A work conveying system for conveying works in a clean room includes a work holder for holding works, and a moving unit for moving the work holder.
    Type: Application
    Filed: September 30, 2002
    Publication date: February 13, 2003
    Inventors: Yasunari Hirata, Takenori Hirakawa, Akemichi Yamamoto
  • Publication number: 20030031539
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Application
    Filed: October 2, 2002
    Publication date: February 13, 2003
    Inventors: Jaim Nulman, Nissim Sidi
  • Patent number: 6519504
    Abstract: A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.
    Type: Grant
    Filed: January 19, 2000
    Date of Patent: February 11, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Minoru Soraoka, Ken Yoshioka, Yoshinao Kawasaki
  • Patent number: 6517304
    Abstract: A method for transporting a substrate between a carrier, which holds the substrate, and a semiconductor manufacturing unit, which receives the substrate. The method includes steps of applying the carrier, which holds the substrate, to a chamber, which houses the semiconductor manufacturing unit, in which chamber the environment of the semiconductor manufacturing unit is controlled, rotatably removing, by an opener, a door of the carrier and a door of the chamber, as a unit, from the carrier and the chamber, and transferring the substrate from the carrier and to the carrier, when the door of the carrier and the door of the chamber have been rotatably removed as a unit by the opener in the removing step. Also disclosed are semiconductor manufacturing apparatus utilizing such a method.
    Type: Grant
    Filed: March 28, 2000
    Date of Patent: February 11, 2003
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ken Matsumoto
  • Patent number: 6517303
    Abstract: The present invention provides an apparatus and method for substrate transport. In systems according to the invention, at least a first and second chamber are provided. The first chamber may be a load lock and the second chamber a processing chamber. A substrate transfer shuttle is provided and is moveable along a linear path defined by guide rollers between one position in the first chamber and another position in the second chamber. In this way, the substrate may be transferred, in both a forward and a reverse direction, between the first chamber and the second chamber. The substrate transfer shuttle is structured so that a substrate may be removed therefrom by moving a support in one of the chambers from a lowered position to an intermediate position, after which the substrate transfer shuttle may be removed from the chamber.
    Type: Grant
    Filed: May 20, 1998
    Date of Patent: February 11, 2003
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: John M. White, Norman L. Turner, Robin L. Tiner, Ernst Keller, Shinichi Kurita, Wendell T. Blonigan, David E. Berkstresser
  • Publication number: 20030021671
    Abstract: A substrate processing apparatus according to this invention includes a first chamber, a second chamber which has a first valve and a second valve, and communicates with the first chamber through said second valve, a thermoregulator which regulates a temperature of the substrate arranged in the second chamber, and a controller which controls a time for which the thermoregulator regulates the temperature of the substrate. A substrate transferred to the second chamber through the first valve is temporally held in the second chamber, and then transferred to the first chamber through the second valve.
    Type: Application
    Filed: July 24, 2002
    Publication date: January 30, 2003
    Applicant: Canon Kabushiki Kaisha
    Inventor: Ryo Edo
  • Patent number: 6510688
    Abstract: A safety device for a moving system with a drive in which a pneumatically operated piston, by linear movement in a cylinder, moves a transported object past a stationary part in at least one direction has the aim of generating a switching signal at every point of a through-opening when an obstacle is located between the moving object and the edge of the through-opening, regardless of the movement direction of the object and the geometrical construction of the through-opening. The cylinder has, at its ends, devices for measuring the pressure of the air flowing out of the cylinder in the movement direction of the piston, wherein a drop in the otherwise substantially constant pressure below a threshold value serves as a switching signal at least for switching off the system. The device is useful for safety purposes during the transport of objects, particularly when the objects form shearing edges with neighboring objects.
    Type: Grant
    Filed: September 22, 1998
    Date of Patent: January 28, 2003
    Assignee: Brooks Automation, Inc.
    Inventors: Erich Adler, Andreas Berger, Marlies Mages
  • Patent number: 6505415
    Abstract: A vacuum processing apparatus which includes a cassette mount table for holding at least one cassette, a conveying structure which includes a robot for conveying a wafer held on the cassette mount table, a vacuum loader which includes an additional robot, an additional conveying structure and a plurality of lock chambers.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: January 14, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6506009
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: January 14, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Jaim Nulman, Nissim Sidi
  • Patent number: 6503365
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: January 26, 1999
    Date of Patent: January 7, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Publication number: 20030002961
    Abstract: A processor for processing articles, such as semiconductor wafers, includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. An article extraction mechanism adapted to seal with the pod removes articles from the pod without exposing the articles to ambient atmospheric conditions in the interface section. The article processor also preferably includes an article insertion mechanism adapted to seal with a pod in the interface section. The article insertion mechanism allows insertion of the articles into the pod after processing by at least one processing station.
    Type: Application
    Filed: July 25, 2002
    Publication date: January 2, 2003
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Publication number: 20030002959
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Application
    Filed: August 19, 2002
    Publication date: January 2, 2003
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall
  • Publication number: 20030002960
    Abstract: A workpiece loading interface is included within a workpiece processing system which processes workpieces, typically wafers, in a vacuum. The workpiece loading interface includes two separate chambers. Each chamber may be separately pumped down. Thus, while a first cassette of wafers, from a first chamber is being accessed, a second cassette of wafers may be loaded in the second chamber and the second chamber pumped down. Each chamber is designed to minimize intrusion to a clean room. Thus a door to each chamber has a mechanism which, when opening the door, first moves the door slightly away from an opening in the chamber and then the door is moved down parallel to the chamber. After the door is opened, a cassette of wafers is lowered through the opening in a motion much like a drawbridge. The cassette may be pivoted within the chamber when the position from which wafers are accessed from the cassette differs from the position from which the cassette is lowered out of the chamber.
    Type: Application
    Filed: August 19, 2002
    Publication date: January 2, 2003
    Inventors: Masato M. Toshima, Phil M. Salzman, Steven C. Murdoch, Cheng Wang, Mark A. Stenholm, James Howard, Leonard Hall
  • Patent number: 6499229
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage maeans after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: December 31, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Publication number: 20020192056
    Abstract: A method and apparatus for transferring a substrate is provided. In one embodiment, an apparatus for transferring a substrate includes at least one end effector. A disk is rotatably coupled to the end effector. The disk is adapted to rotate the substrate relative to the end effector. The end effector may additionally include a sensor coupled thereto. The sensor is adapted to detect an indicia of orientation of the substrate supported by the end effector. In another embodiment, a method for transferring a substrate includes rotating the substrate disposed on an end effector and detecting an indicia of orientation of the substrate.
    Type: Application
    Filed: June 13, 2001
    Publication date: December 19, 2002
    Applicant: Applied Materials, Inc.
    Inventors: Peter Reimer, Jayesh Patel
  • Patent number: 6494670
    Abstract: A functional load lock apparatus having two or more load lock chambers mounted on a central chamber which can be mounted on a single opening in a vacuum chamber such as a substrate processing platform for making integrated circuits on silicon wafers. Each load lock chamber preferably has a semi-cylindrical valve which remains sealed when the load lock chamber is open to atmospheric pressure. A wafer cassette holder positioned within each load lock chamber can be loaded and unloaded while the semi-cylindrical valves seal the vacuum chamber from atmospheric pressure. The semi-cylindrical valve pivots to an open position when the load lock chamber is under vacuum and the entire wafer cassette moves from the load lock chamber to the central chamber.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: December 17, 2002
    Assignee: Applied Materials, Inc.
    Inventor: Tony R. Kroeker
  • Publication number: 20020182037
    Abstract: A substrate processing apparatus for providing predetermined processing to wafers brought in through the load port door comprises in the front of the load port door a load port table on which a wafer carrier accommodating a plurality of wafers is placed, and a shield plate is provided so as to surround the load port table.
    Type: Application
    Filed: March 7, 2002
    Publication date: December 5, 2002
    Applicant: SEMICONDUCTOR LEADING EDGE TECHNOLOGIES, INC.
    Inventors: Shinyo Kimoto, Kenji Tokunaga, Seokhyun Kim, Terumi Muguruma, Yoshiaki Yamada, Shinichi Watanabe, Masahiro Nishi
  • Patent number: 6487791
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: December 3, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6488778
    Abstract: An apparatus and method for controlling wafer temperature and environment is provided. The apparatus includes a batch processing fixture for batch processing wafers at a first elevated temperature. The batch of wafers is not substantially ramped in temperature within the batch processing fixture. The apparatus also includes a single wafer processing apparatus for rapidly ramping temperature of a wafer of the batch from the first elevated temperature wherein a uniform temperature across the wafer is maintained during the ramping. Another embodiment of the apparatus (10) includes an RTP chamber (20) having an inert or reducing environment and that includes a pedestal (24) for holding a single wafer (16) and a heater unit (22) arranged so as to uniformly and rapidly heat the single wafer.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: December 3, 2002
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Peter A. Emmi, Walter J. Frey, Michael J. Gambero, Neena Garg, Byeongju Park, Donald L. Wilson
  • Patent number: 6487793
    Abstract: This invention relates to a vacuum processing apparatus having vacuum processing chambers the insides of which must be dry cleaned, and to a method of operating such an apparatus. When the vacuum processing chambers are dry-cleaned, dummy substrates are transferred into the vacuum processing chamber by substrates conveyor means from dummy substrate storage means which is disposed in the air atmosphere together with storage means for storing substrates to be processed, and the inside of the vacuum processing chamber is dry-cleaned by generating a plasma. The dummy substrate is returned to the dummy substrate storage means after dry cleaning is completed. Accordingly, any specific mechanism for only the cleaning purpose is not necessary and the construction of the apparatus can be made simple.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: December 3, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6487794
    Abstract: A substrate changing-over mechanism in a vacuum processing apparatus which includes a substrate supporting means arranged within a vacuum tank which has at least two openings at a side wall of the tank, the openings being openable or closable by gate valves, the substrate supporting sections in upper and lower spaces and an ascending or descending driver section for driving the substrate supporting means; thereby allowing the supporting means to be stopped in a vertical direction at a plurality of predetermined positions.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: December 3, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6484414
    Abstract: A wafer conveyor system for use in a vacuum processing apparatus wherein the conveyor structure is provided with a robot disposed in the conveyor chamber of the vacuum loader. The robot includes an arm which is extendible into the lock chambers which are connected to the conveyor structure.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: November 26, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou
  • Patent number: 6481945
    Abstract: Enhanced inserts are formed having a cylindrical grip and a protrusion extending from the grip. An ultra hard material layer is bonded on top of the protrusion. The inserts are mounted on a rock bit and contact the earth formations off center. The ultra hard material layer is thickest at a critical zone which encompasses a major portion of the region of contact between the insert and the earth formation. Transition layers may also be formed between the ultra hard material layer and the protrusion so as to reduce stresses formed on the interface between the ultra hard material and the protrusion.
    Type: Grant
    Filed: December 5, 2000
    Date of Patent: November 19, 2002
    Assignee: ASM International N.V.
    Inventors: Albert Hasper, Frank Huussen, Cornelis Marinus Kooijman, Theodorus Gerardus Maria Oosterlaken, Jack Herman Van Putten, Christianus Gerardus Maria Ridder, Gert-Jan Snijders, Jeroen Jan Stoutjesdijk, Jan Zinger
  • Publication number: 20020164232
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Application
    Filed: June 25, 2002
    Publication date: November 7, 2002
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6473989
    Abstract: A vacuum processing apparatus which includes a cassette mount table for holding at least one cassette, a conveying structure that includes a robot, a cassette table for holding the cassette, an additional conveying structure for transferring a wafer held on the cassette table. Further, the apparatus is provided with a vacuum loader which is connected to the additional conveying device by a lock chamber. a controller system controls the second conveyor structure and manages the replacement of the cassette on the cassette table; and further, instructs the replacement of the cassette.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: November 5, 2002
    Assignee: Hitachi, Ltd.
    Inventors: Shigekazu Kato, Kouji Nishihata, Tsunehiko Tsubone, Atsushi Itou