Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 6368676
    Abstract: A method of coating an article wherein the method includes placing a large number N of non-industrial stock pieces in a vacuum chamber where N is a function of the cost of each stock piece, generating a plasma about the stock pieces, and supplying a current to the stock pieces at a level tailored to sufficiently coat each of the plurality of stock pieces with ion from the plasma and wherein the current level depends on the number N of stock pieces.
    Type: Grant
    Filed: July 18, 2000
    Date of Patent: April 9, 2002
    Assignee: Diversified Technologies, Inc.
    Inventors: Marcel P. J. Gaudreau, Michael Kempkes, Timothy Hawkey
  • Patent number: 6365527
    Abstract: A silicon carbide film is formed in a manner which avoids the high level contents of oxygen by depositing the film in at least two consecutive in-situ steps. Each step comprises plasma enhanced chemical vapor deposition (PECVD) of silicon carbride and ammonia plasma treatment to remove oxygen contained in the deposit silicon carbide. The disclosed method is found to enhance several insulation properties of the silicon carbide film and can be easily adapted into production-level IC processing.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: April 2, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Hui Yang, Ming-Sheng Yang
  • Patent number: 6365230
    Abstract: In order to provide an excellent toughness and a sufficient adhesive force without any limit in the content of other carbides in the substrate material and Co and in the size of the cemented carbides grains, the present invention provides a diamond film coated cutting tool, comprising a surface layer which cemented carbide grains are grown abnormally on the cemented carbide substrate, and a diamond film formed on the surface layer, and also a method for manufacturing a diamond film coated cutting tool, comprising the steps of heat-treating a surface of a cemented carbide substrate under a decarburizing atmosphere until the surface changes to a &eegr; phase, heat-treating the surface-decarburized cemented carbide substrate under a carburized atmosphere, depositing a diamond film on the carburized surface of the cemented carbide substrate.
    Type: Grant
    Filed: December 10, 1999
    Date of Patent: April 2, 2002
    Assignee: Korea Institute of Science and Technology
    Inventors: Young Joon Baik, Wook-Seong Lee, Kwang Yong Eun, Ki Woong Chae
  • Patent number: 6361857
    Abstract: A thin diamond film layer is formed on a substrate with good adherence. A heatsink includes a substrate of a sintered compact including Cu and W, and a thin diamond film layer formed on the surface of the substrate. The Cu content in the substrate is at least 5% by weight. In an X-ray diffraction chart obtained by irradiating the thin diamond film layer with an X-ray, the diffraction peak intensity of the (110) plane of W is at least 100 times the diffraction peak intensity of the (200) plane of Cu.
    Type: Grant
    Filed: January 14, 1999
    Date of Patent: March 26, 2002
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hirohisa Saito, Yoshiyuki Yamamoto, Kiichi Meguro, Takahiro Imai
  • Patent number: 6358562
    Abstract: A method for producing coated particles includes the steps of converting particles consisting of a compound of one of (a) a metal with a non-metal or (b) a semi-metal with a non-metal to an aerosol form; contacting the particles in aerosol form with a gas including at least one aromatic compound; and guiding the particles in aerosol form together with the gas through a plasma zone of a microwave plasma. The at least one aromatic compound is preferably selected from the group consisting of benzol, benzol derivatives, naphthalene, and naphthalene derivatives. The gas preferable further includes at least one metallocene which is preferably selected from the group consisting of ferrocene or magnesocene.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: March 19, 2002
    Assignee: Forschungszentrum Karlsruhe GmbH
    Inventors: Dieter Vollath, Vinga Szabo, Bernd Seith
  • Patent number: 6355350
    Abstract: A synthetic polymeric form of carbon (Tetracarbon™) which exhibits some properties similar to biological tissues. Tetracarbon is highly biocompatible and may have application in medicine and microelectronics. Tetracarbon is a biocompatible substrate coating made by depositing short linear chains of carbon stores upon the surface of the substrate. The carbon chains are non-turbostratic and oriented perpendicular to the substrate surface and are densely packed parallel to one another in hexagonal structures with the distance between the carbon chains being between 4.8-5.03 Å. A layer of Tetracarbon is identical to an adjacent layer and randomly shifted laterally relative to each other.
    Type: Grant
    Filed: March 23, 1999
    Date of Patent: March 12, 2002
    Assignee: Tetra Consult, LTD
    Inventors: Malvina B. Guseva, Nikolay D. Novikov, Vladimir G. Babaev, Arnold A. Adamyan, Igor A. Lavygin
  • Publication number: 20020028304
    Abstract: A method is provided for making a blow molded multi-layer container having an upper wall portion, including an opening; an intermediate sidewall portion positioned beneath the upper wall portion; and a base portion positioned beneath the intermediate sidewall portion. The container includes (i) a molded inner layer formed from a plastic material, the inner layer having a vertical length and a carbon-treated inner surface; and (ii) a molded outer layer formed from recycled plastic that is substantially coextensive with the inner layer. The recycled outer layer comprises at least 40% by weight of the overall weight of the container, but can comprise more than 90% by weight. In a preferred embodiment, the thickness of the inner and/or outer layers is controllably adjusted along their respective vertical lengths. If functionally desirable, the inner layer and/or outer layer may also include additional barrier materials and/or oxygen scavenging/reacting materials.
    Type: Application
    Filed: October 1, 2001
    Publication date: March 7, 2002
    Applicant: Plastipak Packaging, Inc.
    Inventor: William A. Slat
  • Patent number: 6346303
    Abstract: The present invention provides a process for synthesizing one-dimensional nanosubstances. A membrane having channels serves as the host material for the synthesis. The anodic membrance is brought into contact with a microwave excited plasma of a precursor gas using an electron cyclotron resonance chemical vapor deposition (ECR-CVD) system. Parallel aligned nanosubstances can be synthesized in the channels of the membrane over a large area. Carbon nitride nanosubstances are synthesized successfully for the first time in the present invention.
    Type: Grant
    Filed: May 14, 1999
    Date of Patent: February 12, 2002
    Inventors: Han-Chang Shih, Shing-Li Sung, Shang-Hua Tsai
  • Patent number: 6346294
    Abstract: A process for producing a coating on components having peripheries to be placed in direct contact with vaporous media. Such peripheries are wetted over part or all of their surface with a film of liquid when the vaporous media are changed from the vapor state into the liquid state by phase transition. Such films of liquid increase the thermal resistance, for example, of the components. In order to avoid such wetting, the peripheries of each component are roughened. A coat of a non-wettable, amorphous carbon is then applied to the peripheries, at least in regions thereof.
    Type: Grant
    Filed: April 28, 1999
    Date of Patent: February 12, 2002
    Assignee: ABB Patent GmbH
    Inventors: Harald Reiss, Manfred Wetzko
  • Patent number: 6338881
    Abstract: A method for applying a diamond-like coating to at least one substrate includes arranging at least one substrate in a reaction chamber so that field lines extend between the at least one substrate and a ground electrode and perpendicularly intersect a clamping surface defined by the at least one substrate or the field lines perpendicularly intersect the clamping surface at an end of the at least one substrate closest to the clamping surface; and applying a diamond-like layer to the at least one substrate by plasma-enhanced chemical vapor deposition by exciting a deposition gas atmosphere with electromagnetic radiation and producing an electrical bias voltage between the substrate and at least one ground electrode.
    Type: Grant
    Filed: March 7, 1999
    Date of Patent: January 15, 2002
    Assignee: Saxonia Umformtechnik GmbH
    Inventors: Michael Sellschopp, Guenther Durst, Stefan Krall
  • Patent number: 6337000
    Abstract: The invention provides a guide bush wherein a cemented carbide alloy containing at least cobalt is provided on an inner surface thereof for holding a workpiece rotatably and slidably in the longitudinal axial direction thereof in close proximity of a cutting tool, and a DLC film is formed over the cemented carbide alloy, cobalt being removed from the surface of the cemented carbide alloy, adjoining the DLC film. By forming the DLC film 15 on the surface of the cemented carbide alloy 12 from which cobalt has been removed, with an intermediate layer formed therebetween, adhesiveness of the DLC film against the cemented carbide alloy fixedly attached to the inner surface of the guide bush is further enhanced.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: January 8, 2002
    Assignee: Citizen Watch Co., Ltd.
    Inventors: Yukio Miya, Osamu Sugiyama, Ryota Koike, Takashi Toida
  • Patent number: 6337110
    Abstract: The present invention relates to a process for electron cyclotron resonance plasma deposition of electron-emitting carbon films, in which by injecting a microwave power into a plasma chamber incorporating an electron cyclotron resonance zone (9), ionization takes place of a gaseous mixture under a low pressure, the thus created ions and electrons diffusing along the magnetic field lines (6) to a substrate (3), the gaseous mixture comprising organic molecules and hydrogen molecules. Said process comprises the following stages: heating the substrate (3), creating a plasma from the ionized gaseous mixture, creating a potential difference between the plasma and the substrate, diffusion of the plasma up to the substrate (3) which, by heating, has reached a temperature such that said electron-emitting material is deposited on the substrate.
    Type: Grant
    Filed: June 11, 1999
    Date of Patent: January 8, 2002
    Assignee: Commissariat a l′ Energie Atomique
    Inventors: Marc Delaunay, Marie-Noëlle Semeria
  • Patent number: 6335063
    Abstract: Very low glide height magneto-optical (LGMO) information storage and retrieval media of near field recording (NFR) and mahnetic super-resolution (MSR) types having improved tribological properties when used in ultra-high density storage/retrieval devices employing very small head flying heights on the order of less than about 2 microinches are formed in a front surface magneto-optical (FSMO) configuration utilizing a substrate having at least one deposition surface subjected to a two-step, synergistic treatment comprising tape burnishing/wiping and photolytic treatment in an ozone-containing atmosphere, followed by deposition thereon of the MO layer stack. Enhanced wear and abrasion resistance at very low flying head heights is provided by an ultra-thin, protective flash layer overcoat (FLO)/lubricant topcoat layer system on the media surface. Embodiments of the present invention include single- and dual-sided MO media.
    Type: Grant
    Filed: October 20, 1999
    Date of Patent: January 1, 2002
    Assignee: Seagate Technology LLC
    Inventors: Ga-Lane Chen, Simon Wing-Tat Fung
  • Patent number: 6332363
    Abstract: A sensor device (1-5) has a sensing surface on which, in use, first molecules (5) are immobilized. The first molecules (5) are capable of interaction with second molecules which may be present in a sample of fluid applied to the sensing surface, such interaction resulting in a measurable change of some physical property of the sensor device. The sensing surface is coated with a layer (4) of diamond-like carbon to protect and preserve the integrity of the sensing surface.
    Type: Grant
    Filed: October 15, 1999
    Date of Patent: December 25, 2001
    Assignee: Thermo FAST UK Limited
    Inventors: James Oscar Molloy, Colin Hugh Maule
  • Publication number: 20010053422
    Abstract: A diamond film depositing apparatus and method are disclosed in which a uniform and large plasma is formed on a substrate having a diameter of larger than 100 mm without using a heated filament cathode, without applying a magnetic field thereto, and without using a ballast resistance. The thusly formed plasma is maintained stably for a long time, so that a diamond thick film having a diameter of larger than 4 inches and a thickness of over hundreds of &mgr;m can be deposited on a flat or curved substrate and also on a Si wafer.
    Type: Application
    Filed: October 27, 1999
    Publication date: December 20, 2001
    Inventors: WOOK-SEONG LEE, YOUNG JOON BAIK, KWANG YONG EUN
  • Patent number: 6331332
    Abstract: In accordance with the present invention, there is provided a process for depositing diamond-like carbon (DLC) films by cathodic arc evaporation (CAE), wherein the high energy of CAE metal ions causes the cracking reaction of the hydrocarbon gases fed into the vacuum reaction chamber and then results in the deposition of DLC films having high hardness and lubrication. Due to the metallic constituents doped in the DLC films, the films also have good toughness. Moreover, prior to the feeding of hydrocarbon gases for the DLC deposition, the same metal arc source may deposits one or more interlayers of metal, metal nitride, or metal carbide on the substrate so as to further enhance the adhesion of the DLC films to be deposited.
    Type: Grant
    Filed: November 5, 1999
    Date of Patent: December 18, 2001
    Inventor: Da-Yung Wang
  • Publication number: 20010048095
    Abstract: A process for forming a thermally stable low-dielectric constant material is provided. A gas mixture is prepared to form a fluorinated amorphous carbon (a-C:F) material. The gas mixture is mixed with a boron-containing gas.
    Type: Application
    Filed: July 1, 1998
    Publication date: December 6, 2001
    Inventor: STEVEN N. TOWLE
  • Patent number: 6323119
    Abstract: The present invention provides a method of depositing an amorphous fluorocarbon film using a high bias power applied to the substrate on which the material is deposited. The invention contemplates flowing a carbon precursor at rate and at a power level so that equal same molar ratios of a carbon source is available to bind the fragmented fluorine in the film thereby improving film quality while also enabling improved gap fill performance. The invention further provides for improved adhesion of the amorphous fluorocarbon film to metal surfaces by first depositing a metal or TiN adhesion layer on the metal surfaces and then stuffing the surface of the deposited adhesion layer with nitrogen. Adhesion is further improved by coating the chamber walls with silicon nitride or silicon oxynitride.
    Type: Grant
    Filed: October 10, 1997
    Date of Patent: November 27, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Turgut Sahin, Yaxin Wang
  • Publication number: 20010044030
    Abstract: A substrate is coated with a hydrophobic coating system including diamond-like carbon (DLC) and at least one fluoro-alkyl silane (FAS) compound. In certain embodiments, the coating system includes an FAS inclusive layer provided over at least one DLC inclusive layer in order to increase the initial contact angle of the coated article.
    Type: Application
    Filed: June 20, 2001
    Publication date: November 22, 2001
    Applicant: Guardian Industries Corporation
    Inventors: Vijayen S. Veerasamy, Rudolph Hugo Petrmichl
  • Patent number: 6314763
    Abstract: A method of manufacturing a 2-5 inch diameter glass substrate for a magnetic disc in which a plate glass is press molded using a mold formed of a super-hard material and having a surface of a prescribed roughness. Ion implantation using nitrogen ions is performed on the surface of the mold, after which ion implantation using palladium ions, platinum ions, and carbon ions is performed in the order given. Finally, the surface of the mold is coated with a graphite or amorphous diamond-like carbon coating.
    Type: Grant
    Filed: February 16, 2001
    Date of Patent: November 13, 2001
    Assignee: Saatec Engineering Corporation
    Inventor: Yasuaki Sakamoto
  • Patent number: 6314764
    Abstract: A method of manufacturing a 1-inch diameter glass substrate for a magnetic disc in which a plate glass is press molded using a mold formed of a super-hard material and having a surface of a prescribed roughness. Ion implantation using nitrogen ions is performed on the surface of the mold, after which ion implantation using palladium ions, platinum ions, and carbon ions is performed in the order given. Finally, the surface of the mold is coated with a graphite or amorphous diamond-like carbon coating.
    Type: Grant
    Filed: February 16, 2001
    Date of Patent: November 13, 2001
    Assignee: Saatec Engineering Corporation
    Inventor: Yasuaki Sakamoto
  • Patent number: 6316062
    Abstract: The present invention provides a method for manufacturing a magnetic recording medium comprising the steps of forming a carbon protective film onto a disc, the non-magnetic substrate of which is layered with a non-magnetic base film and magnetic film, using a reactant gas containing carbon atoms as a starting material, according to a plasma CVD method, wherein a mixed gas of hydrocarbon and hydrogen, in which the mixing ratio of hydrocarbon to hydrogen is in the range of 2 to 1˜1 to 100 by volume, is used as a reactant gas, during bias applying to said disc. In addition, the present invention provides a magnetic recording medium comprising a carbon protective film formed onto a disc, the non-magnetic substrate of which is layered with a non-magnetic base film and magnetic film, wherein said carbon protective film is formed according to a plasma CVD method, while applying bias.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: November 13, 2001
    Assignee: Showa Denko K.K.
    Inventors: Ryuji Sakaguchi, Kazuo Kobayashi, Hiroshi Sakai, Mikio Suzuki, Kenichi Yoneyama, Kazunori Ohnami
  • Patent number: 6316063
    Abstract: A method for forming carbon doped oxide layers by chemical vapor deposition using a source gas that includes: (a) an alkyl-alkoxysilane having the formula (R1)n(R2O)4−nSi where R1 and R2 are lower alkyl groups and n is an integer between 0 and 3, inclusive, with the proviso that when R1 and R2 are methyl groups, n is not equal to 2; (b) a fluorinated alkoxysilane having the formula (R3O)nSiF4−n where R3 is a lower alkyl group and n is an integer between 1 and 3, inclusive, or a combination thereof.
    Type: Grant
    Filed: December 15, 1999
    Date of Patent: November 13, 2001
    Assignee: Intel Corporation
    Inventors: Ebrahim Andideh, Larry Wong
  • Patent number: 6312766
    Abstract: Ion beam deposition, using a carbon- and fluorine-containing source or sources, is used to form a fluorinated diamond-like carbon layer in a device, the FDLC layer exhibiting a dielectric constant of 3.0 or less along with a thermal stability of at least 400° C. During the ion beam deposition, due to the unique nature of carbon chemistry, the carbon atoms combine at the substrate surface to form all possible combinations of sp1, sp2 and sp3 bonds. However, ion beam etching occurs along with deposition, such that atoms of the weaker carbon structures—carbyne and graphite—are removed preferentially. This leads to a buildup of a diamond-like, sp3-bonded structure with fluorine atoms, it is believed, substituted for some carbon atoms within the structure, this structure providing the desirable properties of the layer.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: November 6, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Chien-Shing Pai, Wei Zhu
  • Patent number: 6302768
    Abstract: A surface of a vapor-phase deposited, synthetic thin diamond film is polished by: preparing a polishing liquid of silicon dioxide powder particles having an average particle size within the range of from 5 to 1,000 nm dispersed and distributed in an aqueous solution in an amount of from 5 to 40 wt. %, the dispersion having a coefficient of viscosity of from 1 to 200 cP and a pH of from 8 to 12.5, applying the polishing liquid to a surface of a vapor-phase synthetic thin diamond film and bringing a flat surface of a stool composed of a soft artificial or natural organic material into contact with the surface; and repetitively applying a mutual planar movement under pressure between the surface of said stool and the surface of the thin diamond film.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: October 16, 2001
    Assignee: Mitsubishi Materials Corporation
    Inventor: Miki Adachi
  • Patent number: 6303523
    Abstract: A method and apparatus for depositing a low dielectric constant film by reaction of an organosilicon compound and an oxidizing gas at a constant RF power level from about 10 W to about 200 W or a pulsed RF power level from about 20 W to about 500 W. Dissociation of the oxidizing gas can be increased prior to mixing with the organosilicon compound, preferably within a separate microwave chamber, to assist in controlling the carbon content of the deposited film. The oxidized organosilane or organosiloxane film has good barrier properties for use as a liner or cap layer adjacent other dielectric layers. The oxidized organosilane or organosiloxane film may also be used as an etch stop and an intermetal dielectric layer for fabricating dual damascene structures. The oxidized organosilane or organosiloxane films also provide excellent adhesion between different dielectric layers.
    Type: Grant
    Filed: November 4, 1998
    Date of Patent: October 16, 2001
    Assignee: Applied Materials, Inc.
    Inventors: David Cheung, Wai-Fan Yau, Robert P. Mandal, Shin-Puu Jeng, Kuo-Wei Liu, Yung-Cheng Lu, Michael Barnes, Ralf B. Willecke, Farhad Moghadam, Tetsuya Ishikawa, Tze Wing Poon
  • Patent number: 6294226
    Abstract: There is provided an apparatus for manufacturing a plastic container coated with a diamond-like carbon film comprising; an outer electrode in which a chamber is formed, the shape of the chamber being comparable to an outline of the plastic container, the plastic container being received in the chamber, an inner surface of the chamber of the outer electrode being formed in the shape where the plastic container having a protrusion can be received within the chamber; an inner electrode inserted into the plastic container which is received within the chamber of the outer electrode; and an attaching member which has an inner surface, the shape of the inner surface being comparable to the outline of the plastic container, the attaching member covering an area where the protrusion is formed when the attaching member is attached to the plastic container, the attaching member being able to be received within the chamber of the outer electrode in company with the plastic container in state where the attaching member is
    Type: Grant
    Filed: August 19, 1999
    Date of Patent: September 25, 2001
    Assignee: Kirin Beer Kabushiki Kaisha
    Inventor: Eihaku Shimamura
  • Patent number: 6277448
    Abstract: This invention relates to methods whereby nanoparticle precursor solutions are used in conventional thermal spray deposition for the fabrication of high-quality nanostructured coatings. The method allows combining nanoparticle synthesis, melting, and quenching into a single operation.
    Type: Grant
    Filed: June 4, 1999
    Date of Patent: August 21, 2001
    Assignees: Rutgers the State University of New Jersey, University of Connecticut
    Inventors: Peter R. Strutt, Bernard H. Kear, Ross F. Boland
  • Patent number: 6265032
    Abstract: This invention permits formation of a magnetic coating excelling in the degree of orientation in spite of a decrease in the thickness thereof and ensures impartation of a high degree of packing and high durability to the magnetic layer of a small thickness because of the infallible presence of a backcoat layer in an undried state (particularly a wet state) while the magnetic layer is in an undried state (particularly a wet state). It also allows efficient formation of a backcoat layer which used to impose a heavy load on the conventional process of manufacture. Preferably, this invention has the calendering work performed in the in-line system such that the surface quality of the magnetic layer may be exalted by improving the operational efficiency of the calendering step and, as a result, the loss of the applied layer of the paint which used to occur during the course of the calendering step in the conventional separate line may be decreased.
    Type: Grant
    Filed: December 26, 1996
    Date of Patent: July 24, 2001
    Assignee: TDK Corporation
    Inventors: Shigeo Kurose, Akira Somiya
  • Patent number: 6254940
    Abstract: The present invention related to methods of manufacturing oxide, nitride, carbide, and boride powders and other ceramic, organic, metallic, carbon and alloy powders and films and their mixtures having well-controlled size and crystallinity characteristics. This invention relates, more particularly, to a development in the synthesis of the ceramic, metallic, composite, carbon and alloy nanometer-sized particles with precisely controlled specific surface area, or primary particle size, crystallinity and composition. The product made using the process of the present invention and the use of that product are also claimed herein.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: July 3, 2001
    Assignee: University of Cincinnati
    Inventors: Sotiris E. Pratsinis, Srinivas Vemury
  • Patent number: 6251522
    Abstract: A fullerene containing structure comprises an amorphous carbon base having a first amorphous carbon layer and a second amorphous carbon layer laminated together, and a giant fullerene formed in the neighborhood of layer interface of the amorphous carbon base straddling on both the amorphous carbon layers. A plurality of giant fullerenes generated in the neighborhood of the layer interface are connected together to form a continuum body such as a film structure (a film of giant fullerene) or the like. According to such the fullerene containing structure, a shape and a position to be formed of the giant fullerene, further a state of formation such as a connecting structure or the like can be controlled. In addition, the stable carbon base can protect the generated giant fullerene itself.
    Type: Grant
    Filed: December 23, 1999
    Date of Patent: June 26, 2001
    Assignees: Japan Science and Technology Corporation, Kabushiki Kaisha Toshiba
    Inventors: Shun-ichiro Tanaka, Bingshe Xu
  • Patent number: 6238780
    Abstract: A magnetic recording medium is provided with dual carbon-containing protective overcoats for high magnetic recording performance and high mechanical performance. Embodiments include a dual protective overcoat comprising an amorphous carbon layer on a magnetic layer and a nitrogenated carbon layer on the amorphous carbon layer.
    Type: Grant
    Filed: September 28, 1998
    Date of Patent: May 29, 2001
    Assignee: Seagate Technology LLC
    Inventors: Zhong Stella Wu, Rajiv Y. Ranjan
  • Patent number: 6231956
    Abstract: Wear-resistant edge layer for titanium and its alloys which can be subjected to high loads and has a low coefficient of friction. The wear-resistant edge layer includes a hard amorphous carbon layer, an intermediate layer, and a laser gas alloyed layer. The wear-resistant edge layer may include a 200 to 400 nm thick hard amorphous carbon layer, a 50 to 200 nm thick intermediate layer, and a 0.3 to 2.0 mm thick laser gas alloyed layer. The laser gas alloyed layer may include precipitated titanium nitride needles and have a hardness between 600 HV0.1 and 1400 HV0.1. Process for producing a wear resistant edge layer on a substrate. The process includes forming a laser gas alloyed layer by melting a surface of a substrate, applying an intermediate layer by Laser-Arc, and depositing a hard amorphous carbon layer on the intermediate layer by Laser-Arc.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: May 15, 2001
    Assignee: Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e. V
    Inventors: Berndt Brenner, Steffen Bonss, Hans-Joachim Scheibe, Holger Ziegele
  • Patent number: 6228471
    Abstract: The invention relates to a substrate (1) covered at least in part with a coating (6) comprising a number of layered structures (2) each such structure comprising a first diamond like nanocomposite composition layer (3) closest to the substrate, which composition comprises interpenetrating networks of a-C:H and a-Si:O, a second diamond like carbon composition layer (4) on top of said first layer, a transition layer (5) between said first and second layer comprising a mixture of said diamond like nonocomposite and said diamond like carbon compositions; and when the number of layered structures (2) is greater than one, then the coating (6) comprises an intermediate layer (7) comprising a mixture of said diamond like carbon and diamond like nanocomposite compositions sandwiched between each pair of consecutive layered structures (2). It also relates to a process for manufacturing such a covered substrate.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: May 8, 2001
    Assignee: N.V. Bekaert S.A.
    Inventors: Dominique Neerinck, Peter Persoone
  • Patent number: 6224952
    Abstract: An abrasion-proof and static-erasing coating is formed on the contact surface of a contact image sensor. The coating comprises a first film having a high hardness and a low conductivity, a second film formed on the first film and having a low hardness and a high conductivity, and a third film having a high hardness and a high resistivity providing an abrasion-proof insulating external surface.
    Type: Grant
    Filed: April 25, 1997
    Date of Patent: May 1, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kenji Itoh
  • Patent number: 6221493
    Abstract: According to the present invention there is now provided a body of cemented carbide or cermets coated with at least one diamond layer. The diamond layer is smooth on all sides of the body with an Ra<1 &mgr;m. According to the present invention there is also provided a method for reactive ion etching of a diamond layer on a complex shape body where the etching is efficient at all sides of the body exposed to the plasma. The etching is made using a C— or oxygen-containing plasma with the possibility of etching of a diamond layer covered by an outer layer of preferably a Si containing material.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: April 24, 2001
    Assignee: Sandvik AB
    Inventors: Ingrid Reineck, Bengt Edholm, Christian Strondl
  • Patent number: 6217952
    Abstract: The present invention relates to a method of forming an intermediate film and a hard carbon film over the inner surface of a cylindrical member having a bore, such as a bushing or a cylinder, with the hard carbon film being formed on the intermediate film with a uniform thickness, greatly enhancing of abrasion resistance of the inner surface. The cylindrical member is placed in a vacuum vessel, an auxiliary electrode of an intermediate film forming material, such as a titanium-silicon alloy or the like, is inserted in the bore of the cylindrical member, a sputtering gas is supplied into the vacuum vessel, a voltage is applied to the auxiliary electrode to produce a plasma around the auxiliary electrode in order that the intermediate film forming material is sputtered from the auxiliary electrode and an intermediate film is formed over the inner surface of the cylindrical member.
    Type: Grant
    Filed: December 9, 1999
    Date of Patent: April 17, 2001
    Assignee: Citizen Watch Co., Ltd.
    Inventors: Osamu Sugiyama, Yukio Miya, Ryota Koike, Takashi Toida, Toshiichi Sekine
  • Patent number: 6211065
    Abstract: The present invention provides a method of depositing an amorphous fluorocarbon film using a high bias power applied to the substrate on which the material is deposited. The invention contemplates flowing a carbon precursor at rate and at a power level so that equal same molar ratios of a carbon source is available to bind the fragmented fluorine in the film thereby improving film quality while also enabling improved gap fill performance. The invention further provides for improved adhesion of the amorphous fluorocarbon film to metal surfaces by first depositing a metal or TiN adhesion layer on the metal surfaces and then stuffing the surface of the deposited adhesion layer with nitrogen. Adhesion is further improved by coating the chamber walls with silicon nitride or silicon oxynitride.
    Type: Grant
    Filed: October 10, 1997
    Date of Patent: April 3, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Eugene Tzou, Lie-Yea Cheng, Turgut Sahin, Yaxin Wang
  • Patent number: 6200652
    Abstract: A method and apparatus for nucleation and growth of diamond by hot-filament DC plasma deposition. The apparatus uses a resistively heated filament array for dissociating hydrogen in the reactant gas. For two sided diamond growth, configurations of substrate-hot filament-grid-hot filament-substrate or substrate-hot filament-hot filament-substrate configuration are used. For the latter configuration, two independent arrays of filaments serve as both hot filament and grid, and AC or DC plasma is maintained between the filament arrays. For this and the other electrode configurations, the grid electrode is positively biased with respect to the hot filaments to maintain a plasma. The plasma potential gradient across the grid and the hot-filament draws ions from the plasma towards the filaments. To further increase deposition rates, the filament array is biased negatively with respect to the substrate holder so that a DC plasma is also maintained between the substrate and filament array.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: March 13, 2001
    Assignee: CVD Diamond Corporation
    Inventors: Biwu Sun, Leo W. M. Lau
  • Patent number: 6200675
    Abstract: The invention relates to an improved diamond-like nanocomposite composition comprising networks of a-C:H and a-Si:O wherein the H-concentration is between 40% and 80% of the C-concentration and having a coefficient of friction against steel which is smaller than 0.1 in air with a relative humidity up to 90%, or in water. The invention relates also to a process for depositing the composition on a substrate in a vacuum chamber. The composition comprises preferably 30 to 70 at % of C, 20 to 40 at % of H, 5 to 15 at % of Si and 5 to 15 at % of O and can be doped with transition metals.
    Type: Grant
    Filed: March 15, 1999
    Date of Patent: March 13, 2001
    Assignee: N.V. Bekaert S.A.
    Inventors: Dominique Neerinck, Arvind Goel
  • Patent number: 6183818
    Abstract: The present invention provides a new process to deposit well adhered ultra smooth diamond films on metals by adding nitrogen gas to the methane/hydrogen plasma created by a microwave discharge. Such diamond coating process is useful in tribological/wear resistant applications in bio-implants, machine tools, and magnetic recording industry.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: February 6, 2001
    Assignee: UAB Research Foundation
    Inventors: Yogesh K. Vohra, Shane A. Catledge
  • Patent number: 6183816
    Abstract: In an apparatus for fabricating a carbon coating, an object such as a magnetic recording medium is disposed on a side of an electrode connected to a high-frequency power supply. Ultrasonic vibrations are supplied to the object. Discharge is generated between the electrode connected to the high-frequency power supply and a grounded electrode to fabricate a carbon coating on the surface of the object. Also, an electrode interval is set to 6 mm or less, pressure between the electrodes is set to 15 Torr to 100 Torr, whereby high-density plasma is generated to form an ion sheath on an anode side. Therefore, a coating is fabricated on the surface of the object by bombardment of ions.
    Type: Grant
    Filed: August 13, 1997
    Date of Patent: February 6, 2001
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Kenji Itoh, Shigenori Hayashi
  • Patent number: 6171454
    Abstract: Described is a method for coating surfaces using a facility having sputtering electrodes, which has at least two electrodes that are spaced apart from one another and arranged inside a process chamber, and an inlet for a process gas. The two sputtering electrodes are acted upon by a bipolarly pulsed voltage in such a way that they are alternately operated as cathodes and as anodes. In addition, the frequency of the voltage is set between 1 kHz and 1 MHz. Furthermore, and that the operating parameters are selected in such a way that in operation, the electrodes are at least partially covered by a coating material.
    Type: Grant
    Filed: September 16, 1999
    Date of Patent: January 9, 2001
    Assignee: Robert Bosch GmbH
    Inventors: Thomas Weber, Johannes Voigt, Susanne Lucas
  • Patent number: 6171456
    Abstract: The present invention relates to post manufacturing operations for improving the working life of known bonding tools such as capillaries, wedges and single point TAB tools of the type used in the semiconductor industry to make fine wire or TAB finger interconnections. After the desired bonding tool is manufactured to predetermined specifications, dimensions and tolerances, it is placed in a sputtering chamber with hard target material with an ionizing gas. A controlled volume of sputtered hard material is generated at high temperature by plasma ion bombardment and deposited onto the working face of the bonding tool while the tool is held at a temperature that prevents distortion. A very thin amorphous hard layer is bonded onto the working face of the bonding tool which increases the working life of most tools by an order of magnitude and there is no requirement for additional processing.
    Type: Grant
    Filed: August 2, 1999
    Date of Patent: January 9, 2001
    Assignee: Kulicke and Soffa Industries Inc.
    Inventors: Ilan Hadar, Beni Sonnenreich
  • Patent number: 6153537
    Abstract: A method for manufacturing a semiconductor device having improved adhesion at an interface between layers of dielectric material, comprising the steps of forming a first layer of dielectric material on at least one part of a structure defined in a semiconductor substrate and forming a second dielectric material layer superimposed on the least one part of the first layer. The method further includes the step of forming, in the part where the first and second layers are superimposed, an intermediate adhesion layer comprising a ternary compound of silicon, oxygen and carbon. The formation of the adhesion layer takes place at low temperature and in an atmosphere kept essentially free of oxidative substances different from those serving to provide the silicon and the carbon to the layer. Preferably the layer is formed by the plasma enhanced chemical vapour deposition technique.
    Type: Grant
    Filed: December 22, 1995
    Date of Patent: November 28, 2000
    Assignee: SGS-Thomson Microelectronics S.r.l.
    Inventors: Maurizio Bacchetta, Luca Zanotti, Giuseppe Queirolo
  • Patent number: 6145763
    Abstract: An automotive fuel injector having a fluorine-coating amphorous hydronated carbon film coating to resist the formation of carbonaceous deposits thereon. The fluorine-coating amphorous hydronated carbon film is made in part form trifluoromethyltrimethylsilane. The coating helps the fuel injector resist coking or the growth of hard carbonaceous deposits. This reduction in coking and deposits improves the fuel economy and engine performance.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: November 14, 2000
    Assignee: Ford Global Technologies, Inc.
    Inventors: Jonathan Edward Fleming, Michael Howard Parsons, Robert Eugene Hetrick, William Martin Sheeran, Xia Zhang
  • Patent number: 6147009
    Abstract: A low dielectric constant, thermally stable hydrogenated oxidized silicon carbon film which can be used as an interconnect dielectric in IC chips is disclosed. Also disclosed is a method for fabricating a thermally stable hydrogenated oxidized silicon carbon low dielectric constant film utilizing a plasma enhanced chemical vapor deposition technique. Electronic devices containing insulating layers of thermally stable hydrogenated oxidized silicon carbon low dielectric constant materials that are prepared by the method are further disclosed. To enable the fabrication of thermally stable hydrogenated oxidized silicon carbon low dielectric constant film, specific precursor materials having a ring structure are preferred.
    Type: Grant
    Filed: June 29, 1998
    Date of Patent: November 14, 2000
    Assignee: International Business Machines Corporation
    Inventors: Alfred Grill, Christopher Vincent Jahnes, Vishnubhai Vitthalbhai Patel, Laurent Claude Perraud
  • Patent number: 6143142
    Abstract: The invention describes composite coatings, in particular comprising carbon and another metallic element such as silicon or aluminium. These coatings have improved properties compared with pure tetrahedral amorphous carbon coatings, in that they have reduced stress levels and can be deposited at higher thicknesses, whilst retaining acceptable hardness and other useful mechanical properties. Also described are methods of making composite coatings, materials for making the coatings and substrates coated therewith. Specifically, a method of applying a coating to a substrate using a cathode arc source, comprises generating an arc between a cathode target and an anode of the source and depositing positive target ions on the substrate to form the coating, wherein the coating is a composite of at least first and second elements and the target comprises said at least first and second elements.
    Type: Grant
    Filed: June 21, 1999
    Date of Patent: November 7, 2000
    Assignee: Nanyang Technological University
    Inventors: Xu Shi, Hong Siang Tan, Beng Kang Tay
  • Patent number: 6136386
    Abstract: An object such as an automobile part, an image forming apparatus part, a bicycle part, other machine parts, a sport article or its part, a toy or its part, or a rain article or its part has a portion to be in contact with a contact object. The contact portion is made of at least one kind of material selected from a group including polymer material such as resin or rubber as well as glass, and the contact portion has a surface entirely or partially coated with a carbon film (typically, a DLC film) having a wear resistance as well as at least one of a lubricity, a water repellency and a gas barrier property. The carbon film is formed on the object with a good adhesion.
    Type: Grant
    Filed: June 27, 1997
    Date of Patent: October 24, 2000
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takahiro Nakahigashi, Akira Doi, Yoshihiro Izumi, Hajime Kuwahara
  • Patent number: RE37294
    Abstract: An ion beam deposition method is provided for manufacturing a coated substrate with improved abrasion resistance, and improved lifetime. According to the method, the substrate is first chemically cleaned to remove contaminants. In the second step, the substrate is inserted into a vacuum chamber, and the air in said chamber is evacuated. In the third step, the substrate surface is bombarded with energetic ions to assist in the removal of residual hydrocarbons and surface oxides, and to activate the surface. Alter After the substrate surface has been sputter-etched, a protective, abrasion-resistant coating is deposited by ion beam deposition. The ion beam-deposited coating may contain one or more layers. Once the chosen thickness of the coating has been achieved, the deposition process on the substrates is terminated, the vacuum chamber pressure is increased to atmospheric pressure, and the coated substrate products having improved abrasion-resistance are removed from the vacuum chamber.
    Type: Grant
    Filed: March 25, 1998
    Date of Patent: July 24, 2001
    Assignee: Diamonex, Incorporated
    Inventors: Bradley J. Knapp, Fred M. Kimock, Rudolph H. Petrmichl, Norman D. Galvin