Silicon Oxides Or Nitrides Patents (Class 427/579)
  • Publication number: 20150004332
    Abstract: A method of depositing a thin film on a substrate inside a vacuum chamber includes a first process that deposits a first film on the substrate, the first process including a process of supplying an active species that is obtained by changing a gas to plasma and is related to a quality of the thin film to the substrate; and a second process that deposits a second film that is the same type as that of the first film on the first film, the second process including a process of supplying the active species to the substrate so that a supply quantity of the active species per a unit film thickness is greater than a first supply quantity of the active species per the unit film thickness in the first process by adjusting a controlled parameter.
    Type: Application
    Filed: June 13, 2014
    Publication date: January 1, 2015
    Inventors: Hitoshi KATO, Masahiro MURATA, Kentaro OSHIMO, Shigehiro MIURA
  • Publication number: 20140349033
    Abstract: A method for forming a dielectric film on a substrate by plasma-assisted deposition, includes: introducing a Si-containing process gas to a reaction space wherein a substrate having a surface with patterned recesses is placed; and applying RF power to the process gas in the reaction space to form a dielectric film on the surface by plasma reaction. The RF power is comprised of pulses of high-frequency RF power and pulses of low-frequency RF power, which overlap and are synchronized.
    Type: Application
    Filed: May 23, 2013
    Publication date: November 27, 2014
    Applicant: ASM IP Holding B.V.
    Inventors: Yuya Nonaka, Fumitaka Shoji, Hiroki Arai
  • Publication number: 20140349107
    Abstract: The invention relates to a glazing comprising a transparent glass substrate containing ions of at least one alkali metal and a transparent layer mad of silicon oxycarbide (SiOxCy) having a total thickness E with (a) a carbon-rich deep zone, extending from a depth P3 to a depth P4, where the C/Si atomic ratio is greater than or equal to 0.5, and (b) a carbon-poor surface zone, extending from a depth P1 to a depth P2, where the C/Si atomic ratio is less than or equal to 0.4, with P1<P2<P3<P4 and (P2-P1)+(P4-P3)<E the distance between P1 and P2 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer and the distance between P3 and P4 representing from 10% to 70% of the total thickness E of the silicon oxycarbide layer.
    Type: Application
    Filed: November 14, 2012
    Publication date: November 27, 2014
    Applicant: SAINT-GOBAIN GLASS FRANCE
    Inventors: Claire Thoumazet, Martin Melcher, Arnaud Huignard, Raphael Lante
  • Patent number: 8895456
    Abstract: A method of depositing a film of forming a doped oxide film including a first oxide film containing a first element and doped with a second element on substrates mounted on a turntable including depositing the first oxide film onto the substrates by rotating the turntable predetermined turns while a first reaction gas containing the first element is supplied from a first gas supplying portion, an oxidation gas is supplied from a second gas supplying portion, and a separation gas is supplied from a separation gas supplying portion, and doping the first oxide film with the second element by rotating the turntable predetermined turns while a second reaction gas containing the second element is supplied from one of the first and second gas supplying portions, an inert gas is supplied from another one, and the separation gas is supplied from the separation gas supplying portion.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuhiro Tachibana, Hiroaki Ikegawa, Yu Wamura, Muneyuki Otani, Jun Ogawa, Kosuke Takahashi
  • Patent number: 8889235
    Abstract: A process for forming a silicon carbonitride barrier dielectric film between a dielectric film and a metal interconnect of an integrated circuit substrate, comprising the steps of; providing the integrated circuit substrate having a dielectric film; contacting the substrate with a barrier dielectric film precursor comprising: RxR?y(NR?R??)zSi wherein R, R?, R? and R?? are each individually selected from hydrogen, linear or branched saturated or unsaturated alkyl, or aromatic; wherein x÷y+z=4; z=1-3; but R, R? cannot both be hydrogen; forming the silicon carbonitride barrier dielectric film with C/Si ratio >0.8 and a N/Si ratio >0.2 on the integrated circuit substrate.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: November 18, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Anupama Mallikarjunan, Raymond Nicholas Vrtis, Laura M. Matz, Mark Leonard O'Neill, Andrew David Johnson, Manchao Xiao
  • Publication number: 20140332437
    Abstract: There are provided a food container having improved oxygen barrier properties and a manufacturing method thereof. The food container includes a container made of a plastic material, a buffer thin layer formed on a surface of the container and having a thickness of 5 to 30 nm, and an oxygen barrier thin layer formed on the buffer thin layer. Accordingly, it is possible to provide a food container and a manufacturing method thereof, which can remarkably improve oxygen barrier properties by depositing, using a plasma method, an oxygen barrier thin layer on a porous plastic container having low surface energy without breaking the oxygen barrier thin layer.
    Type: Application
    Filed: February 28, 2013
    Publication date: November 13, 2014
    Inventors: Kwang Ryeol Lee, Myoung Woon Moon, Seong Jin Kim, Eun Kyung Song, Kyoung Sik Jo, Tae Kyung Yun
  • Patent number: 8883269
    Abstract: A method of processing a substrate in a processing chamber is provided. The method generally includes applying a microwave power to an antenna coupled to a microwave source disposed within the processing chamber, wherein the microwave source is disposed relatively above a gas feeding source configured to provide a gas distribution coverage covering substantially an entire surface of the substrate, and exposing the substrate to a microwave plasma generated from a processing gas provided by the gas feeding source to deposit a silicon-containing layer on the substrate at a temperature lower than about 200 degrees Celsius, the microwave plasma using a microwave power having a power density of about 500 milliWatts/cm2 to about 5,000 milliWatts/cm2 at a frequency of about 1 GHz to about 10 GHz.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: November 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Tae Kyung Won, Helinda Nominanda, Seon-Mee Cho, Soo Young Choi, Beom Soo Park, John M. White, Suhail Anwar, Jozef Kudela
  • Patent number: 8883257
    Abstract: Disclosed herein is a method for producing a plastic container coated with a thin film that is excellent in gas barrier properties, film coloration and film adhesiveness without using an external electrode having a special shape while suppressing deposition of foreign matters such as carbon powders.
    Type: Grant
    Filed: June 25, 2009
    Date of Patent: November 11, 2014
    Assignee: Kirin Beer Kabushiki Kaisha
    Inventors: Masaki Nakaya, Mari Shimizu
  • Patent number: 8877299
    Abstract: A method of enhancing a material layer on a substrate is described. The method comprises establishing a gas cluster ion beam (GCIB), and treating a host region of the substrate by exposing the host region of the substrate to the GCIB. The treatment with the GCIB may selectively remove an undesirable specie and/or introduce a desirable specie to the host region.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: November 4, 2014
    Assignee: TEL Epion Inc.
    Inventors: John J. Hautala, Nathan E. Baxter, Koji Yamashita
  • Publication number: 20140314897
    Abstract: Methods and systems are provided for fabricating polymer-based imprint lithography templates having thin metallic or oxide coated patterning surfaces. Such templates show enhanced fluid spreading and filling (even in absence of purging gases), good release properties, and longevity of use. Methods and systems for fabricating oxide coated versions, in particular, can be performed under atmospheric pressure conditions, allowing for lower cost processing and enhanced throughput.
    Type: Application
    Filed: March 17, 2014
    Publication date: October 23, 2014
    Applicant: MOLECULAR IMPRINTS, INC.
    Inventors: Se Hyun Ahn, Byung-Jin Choi, Frank Y. Xu
  • Publication number: 20140287164
    Abstract: Described herein are compositions for depositing a carbon-doped silicon containing film wherein the composition comprises a first precursor comprising at least one compound selected from the group consisting of: an organoaminoalkylsilane having a formula of R5Si(NR3R4)xH3-x wherein x=1, 2, 3; an organoalkoxyalkylsilane having a formula of R6Si(OR7)xH3-x wherein x=1, 2, 3; an organoaminosilane having a formula of R8N(SiR9(NR10R11)H)2; an organoaminosilane having a formula of R8N(SiR9LH)2 and combinations thereof; and optionally a second precursor comprising a compound having the formula: Si(NR1R2)H3. Also described herein are methods for depositing a carbon-doped silicon-containing film using the composition wherein the method is one selected from the following: cyclic chemical vapor deposition (CCVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD) and plasma enhanced CCVD (PECCVD).
    Type: Application
    Filed: June 1, 2012
    Publication date: September 25, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Ronald Martin Pearlstein, Haripin Chandra, Eugene Joseph Karwacki, JR., Bing Han, Mark Leonard O'Neill
  • Publication number: 20140272194
    Abstract: Described herein are organoaminosilane precursors which can be used to deposit silicon containing films which contain silicon and methods for making these precursors. Also disclosed herein are deposition methods for making silicon-containing films or silicon containing films using the organoaminosilane precursors described herein. Also disclosed herein are the vessels that comprise the organoaminosilane precursors or a composition thereof that can be used, for example, to deliver the precursor to a reactor in order to deposit a silicon-containing film.
    Type: Application
    Filed: May 30, 2014
    Publication date: September 18, 2014
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Bing Han, Mark Leonard O'Neill, Ronald Martin Pearlstein, Richard Ho, Haripin Chandra, Agnes Derecskei-Kovacs
  • Patent number: 8828505
    Abstract: The present invention is a process of plasma enhanced cyclic chemical vapor deposition of silicon nitride, silicon carbonitride, silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide from alkylaminosilanes having Si—H3, preferably of the formula (R1R2N)SiH3 wherein R1 and R2 are selected independently from C2 to C10 and a nitrogen or oxygen source, preferably ammonia or oxygen has been developed to provide films with improved properties such as etching rate, hydrogen concentrations, and stress as compared to films from thermal chemical vapor deposition.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: September 9, 2014
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Hareesh Thridandam, Manchao Xiao, Xinjian Lei, Thomas Richard Gaffney, Eugene Joseph Karwacki, Jr.
  • Publication number: 20140242365
    Abstract: Method and apparatus for manufacturing a barrier layer (1b) on a substrate. The apparatus comprises an atmospheric pressure glow discharge (APGD) plasma apparatus having at least two electrodes (2, 3) arranged to generate an atmospheric pressure glow discharge plasma in a treatment space (5) formed between said two electrodes (2, 3), and an atomic layer deposition (ALD) device. The apparatus is arranged to provide an inorganic oxide layer (1a) on the substrate (1) using the atmospheric pressure glow discharge (APGD) plasma apparatus, and to provide a consecutive deposition (1b) of between 1 and 70 atomic layers on the inorganic oxide layer (1a) using the ALD device. The result is a flexible barrier substrate having excellent water vapor transmission ratio, which is able to be manufactured efficiently.
    Type: Application
    Filed: September 26, 2012
    Publication date: August 28, 2014
    Inventors: Hindrik De Vries, Serguei Starostine
  • Publication number: 20140242364
    Abstract: The invention relates to blends of special copolycarbonates and special polyetherimides or special polyarylsulfones which have good metallizability and to compositions of said copolycarbonate blends optionally containing additives which are selected from the group of thermo stabilizers and release agents, to the use thereof for producing molded parts and to molded parts produced therefrom. The invention further relates to multilayer products comprising a substrate which contains the compositions according to the invention, said products comprising at least one further layer, preferably a metal layer, on at least one side, and to methods for producing said products.
    Type: Application
    Filed: October 5, 2012
    Publication date: August 28, 2014
    Inventors: Alexander Meyer, Rafael Oser
  • Publication number: 20140220262
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Application
    Filed: April 15, 2014
    Publication date: August 7, 2014
    Applicant: PLASMASI, INC.
    Inventors: Stephen E. Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Publication number: 20140220361
    Abstract: The present invention provides novel plasma sources useful in the thin film coating arts and methods of using the same. More specifically, the present invention provides novel linear and two dimensional plasma sources that produce linear and two dimensional plasmas, respectively, that are useful for plasma-enhanced chemical vapor deposition. The present invention also provides methods of making thin film coatings and methods of increasing the coating efficiencies of such methods.
    Type: Application
    Filed: January 6, 2014
    Publication date: August 7, 2014
    Applicants: AGC Flat Glass North America, Inc., AGC Glass Europe, Asahi Glass Co., Ltd.
    Inventor: Peter MASCHWITZ
  • Publication number: 20140212534
    Abstract: An imprint lithography template includes a porous material defining a multiplicity of pores with an average pore size of at least about 0.4 nm. The porous material includes silicon and oxygen, and a ratio of Young's modulus (E) to relative density of the porous material with respect to fused silica (?porous/?fused silica) is at least about 10:1. A refractive index of the porous material is between about 1.4 and 1.5. The porous material may form an intermediate layer or a cap layer of an imprint lithography template. The template may include a pore seal layer between a porous layer and a cap layer, or a pore seal layer on top of a cap layer.
    Type: Application
    Filed: January 30, 2013
    Publication date: July 31, 2014
    Applicant: MOLECULAR IMPRINTS, INC.
    Inventors: Edward B. Fletcher, Frank Y. Xu, Weijun Liu, Fen Wan, Marlon Menezes, Kosta S. Selinidis
  • Publication number: 20140212601
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Application
    Filed: April 14, 2014
    Publication date: July 31, 2014
    Applicant: PlasmaSi, Inc.
    Inventors: Stephen E. Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Patent number: 8784951
    Abstract: A method of forming an insulation film on a semiconductor substrate by plasma enhanced atomic layer deposition (PEALD), includes: (i) adsorbing a non-excited non-halide precursor having four or more silicon atoms in its molecule onto a substrate placed in a reaction space; (ii) supplying an oxygen-free reactant to the reaction space without applying RF power so as to expose the precursor-adsorbed substrate to the reactant; and (iii) after step (ii), applying RF power to the reaction space while the oxygen-free reactant is supplied in the reaction space; and (iv) repeating steps (i) to (iii) as a cycle, thereby depositing an insulation film on the substrate.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: July 22, 2014
    Assignee: ASM IP Holding B.V.
    Inventors: Atsuki Fukazawa, Hideaki Fukuka
  • Patent number: 8778465
    Abstract: Methods of creating porous materials, such as silicon, are described. In some embodiments, plasma sheath modification is used to create ion beams of various incidence angles. These ion beams may, in some cases, form a focused ion beam. The wide range of incidence angles allows the material to be deposited amorphously. The porosity and pore size can be varied by changing various process parameters. In other embodiments, porous oxides can be created by adding oxygen to previously created layers of porous material.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: July 15, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Ludovic Godet, Xiangfeng Lu, Deepak Ramappa
  • Patent number: 8765232
    Abstract: The disclosed invention includes apparatus and methods that may be used for plasma-based deposition of thin layers of material on separate or continuous web substrates at very low temperatures with very low defect density. It achieves superior control of gas phase chemistry by controlling the sequence of introduction of gaseous components. It also has substantially independent control over the rate of chemical processes in the gas and of the amount of power and energy of ion bombardment. Such control enables high quality single and multi-layer films to be deposited cost effectively and uniformly over larger areas under very low temperature conditions.
    Type: Grant
    Filed: January 10, 2012
    Date of Patent: July 1, 2014
    Assignee: PlasmaSi, Inc.
    Inventors: Stephen Edward Savas, Sai Mantripragada, Sooyun Joh, Allan B. Wiesnoski, Carl Galewski
  • Publication number: 20140170382
    Abstract: A functional film manufacturing method, in manufacturing a functional film having an organic layer on a support and an inorganic layer on the organic layer, comprises steps of preparing a coating material containing an organic compound which has a glass transition temperature of 100° C. or higher and is to be the organic layer, and an organic solvent; coating a support surface with 5 cc/m2 or more of the coating material such that the organic layer thickness becomes 0.05 to 3 ?m; forming the organic layer by drying the coating material on the support surface such that the coating material has a viscosity of 20 cP or higher and a surface tension of 34 mN/m or less in a decreasing-rate-of-drying state, and curing the organic compound; and forming the inorganic layer on the organic layer surface by a vapor phase deposition method accompanied by generation of plasma.
    Type: Application
    Filed: February 20, 2014
    Publication date: June 19, 2014
    Applicant: FUJIFILM CORPORATION
    Inventor: Eijiro IWASE
  • Patent number: 8747962
    Abstract: The invention relates to a method for the plasma treatment of workpieces, particularly workpieces in the form of hollow bodies, in which a treatment zone in a reactor chamber is at least partially evacuated, a process gas is introduced into the treatment zone, particularly into the cavity of the workpiece, and a plasma is ignited by means of injected electromagnetic energy in the process gas introduced into the treatment zone, wherein the process gas flows through the treatment zone between opposite ends of the zone during the plasma treatment.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: June 10, 2014
    Assignee: Schott AG
    Inventors: Matthias Bicker, Robert Hormes, Manfred Lohmeyer
  • Patent number: 8741394
    Abstract: Methods for depositing film stacks by plasma enhanced chemical vapor deposition are described. In one example, a method for depositing a film stack on a substrate, wherein the film stack includes films of different compositions and the deposition is performed in a process station in-situ, is provided. The method includes, in a first plasma-activated film deposition phase, depositing a first layer of film having a first film composition on the substrate; in a second plasma-activated deposition phase, depositing a second layer of film having a second film composition on the first layer of film; and sustaining the plasma while transitioning a composition of the plasma from the first plasma-activated film deposition phase to the second plasma-activated film deposition phase.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: June 3, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Jason Haverkamp, Pramod Subramonium, Joe Womack, Dong Niu, Keith Fox, John Alexy, Patrick Breiling, Jennifer O'Loughlin, Mandyam Sriram, George Andrew Antonelli, Bart van Schravendijk
  • Patent number: 8715821
    Abstract: Polymer article having a thin coating on at least one of its side, characterized in that said coating comprises a first coating of SiOxCyH2 which is a plasma polymerized tetramethylsilane deposited on the surface on said polymer article, the x value being between 0 and 1.7, the y value being between 0.5 and 0.8, the z value being between 0.35 and 0.6 for said first SiOxCyHz coating and a second coating of SiOxCyHz which is a plasma polymerized tetramethylsilane deposited on the surface on said first coating, the x value being between 1.7 and 1.99, the y value being between 0.2 and 0.7, the z value being between 0.2 and 0.35 for said second SiOxCyHz coating and in that the thickness of said first coating is from about 1 nanometer to about 15 nanometers and in that the thickness of said second coating is from about 10 nanometers to about 100 nanometers, preferentially around 30 nanometers.
    Type: Grant
    Filed: June 16, 2006
    Date of Patent: May 6, 2014
    Assignee: Innovative Systems & Technologies
    Inventors: Nasser Beldi, Patrick Chollet
  • Patent number: 8697197
    Abstract: Apparatus and method for plasma-based processing well suited for deposition, etching, or treatment of semiconductor, conductor or insulating films. Plasma generating units include one or more elongated electrodes on the processing side of a substrate and a neutral electrode proximate the opposite side of the substrate. Gases may be injected proximate a powered electrode which break down electrically and produce activated species that flow toward the substrate area. This gas then flows into an extended process region between powered electrodes and substrate, providing controlled and continuous reactivity with the substrate at high rates with efficient utilization of reactant feedstock. Gases are exhausted via passages between powered electrodes or electrode and divider.
    Type: Grant
    Filed: July 8, 2010
    Date of Patent: April 15, 2014
    Assignee: Plasmasi, Inc.
    Inventors: Stephen Edward Savas, Carl Galewski, Allan B. Wiesnoski, Sai Mantripragada, Sooyun Joh
  • Publication number: 20140099451
    Abstract: The invention relates to a method for producing metal or semiconductor oxide, nitride or oxynitride films on a substrate, by means of the PECVD method, including the steps that involve: (i) having a low-pressure PECVD device including at least one plasma source that includes at least one electrode connected to an AC, DC, or drawn DC generator for depositing said films on the substrate; and (ii) applying electrical power to the plasma source and applying, on the substrate, an oxide film gas precursor made of metal or semiconductor nitrides or oxynitrides and a reactive gas made of oxygen, oxygen derivatives, or nitrogen derivatives. The invention also relates to metal or semiconductor oxide, nitride, or oxynitride films obtained by the method.
    Type: Application
    Filed: May 24, 2012
    Publication date: April 10, 2014
    Applicant: AGC Glass Europe
    Inventors: Stijn Mahieu, Eric Tixhon, Martin Van Stuyvenberg, Hugues Wiame
  • Patent number: 8679594
    Abstract: The invention includes the structure of a multilayer protective coating, which may have, among other properties, scratch resistance, UV absorption, and an effective refractive index matched to a polymer substrate such as polycarbonate. Each layer may contain multiple components consisting of organic and inorganic materials. The multilayer protective coating includes interleaved organic layers and inorganic layers. The organic layers may have 20% or more organic compounds such as SiOxCyHz. The inorganic layers may have 80% or more inorganic materials, such as SiO2, SiOxNy, and ZnO, or mixtures thereof. Each layer of the multilayer protective coating is a micro layer and may have a thickness of 5 angstroms or less in various embodiments. The multilayer protective coating may contain in the order of hundreds or thousands of micro layers, depending upon the design requirement of applications. In each micro layer, the components may have substantially continuous variations in concentration.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: March 25, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Michael W. Stowell, Manuel D. Campo
  • Patent number: 8658255
    Abstract: Methods of making components having calcium magnesium aluminosilicate (CMAS) mitigation capability involving providing a component; applying an environmental barrier coating to the component, the environmental barrier coating having a separate CMAS mitigation layer including a CMAS mitigation composition selected from rare earth elements, rare earth oxides, zirconia, hafnia partially or fully stabilized with alkaline earth or rare earth elements, zirconia partially or fully stabilized with alkaline earth or rare earth elements, magnesium oxide, cordierite, aluminum phosphate, magnesium silicate, and combinations thereof.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: February 25, 2014
    Assignee: General Electric Company
    Inventors: Glen Harold Kirby, Brett Allen Boutwell, Ming Fu, Bangalore Aswatha Nagaraj, Brian Thomas Hazel
  • Publication number: 20140050864
    Abstract: To provide a method for producing a laminate excellent in weather resistance, gas barrier property and long-term stability of adhesion between layers. A method for producing a laminate comprising a substrate sheet containing a fluororesin and a gas barrier film directly laminated on at least one side of the substrate sheet, wherein the gas barrier film contains as the main component an inorganic compound comprising at least one member selected from the group consisting of oxygen, nitrogen and carbon, and silicon or aluminum, and the gas barrier film is formed on the substrate sheet by a high-frequency plasma chemical vapor deposition method at a frequency of 27.12 MHz.
    Type: Application
    Filed: October 28, 2013
    Publication date: February 20, 2014
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Naoto KIHARA, Takuya NAKAO
  • Patent number: 8652588
    Abstract: The invention relates to a method and apparatus for the application of a thin film coating of material onto a surface of an article which is to be exposed to aqueous conditions such as when in the sea or rivers. The invention allows for the formation of a coating which is resistant to fouling and which coating can be formed of materials which have significantly less adverse effect on the quality of the water in which the article is placed in comparison to conventional coating types.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: February 18, 2014
    Assignee: Teer Coatings Limited
    Inventors: Dennis Teer, Laurent Akesso, Parnia Navabpour
  • Patent number: 8652625
    Abstract: A transparent gas barrier film comprising a substrate having thereon a gas barrier layer comprising at least a low density layer and a high density layer, wherein one or more intermediate density layers are sandwiched between the low density layer and the high density layer.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: February 18, 2014
    Assignee: Konica Minolta Holdings, Inc.
    Inventors: Kazuhiro Fukuda, Toshio Tsuji, Chikao Mamiya, Hiroaki Arita
  • Patent number: 8646407
    Abstract: A method is provided for using a film formation apparatus including a process container having an inner surface, which contains as a main component a material selected from the group consisting of quartz and silicon carbide. The method includes performing a film formation process to form a silicon nitride film on a product target substrate inside the process container, and then, unloading the product target substrate from the process container. Thereafter, the method includes supplying an oxidizing gas into the process container with no product target substrate accommodated therein, thereby performing an oxidation process to change by-product films deposited on the inner surface of the process container into a composition richer in oxygen than nitrogen, at a part of the by-product films from a surface thereof to a predetermined depth.
    Type: Grant
    Filed: June 22, 2012
    Date of Patent: February 11, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Patent number: 8642128
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, an apparatus for substrate processing includes a process chamber having a chamber body defining an inner volume; and a silicon containing coating disposed on an interior surface of the chamber body, wherein an outer surface of the silicon containing coating is at least 35 percent silicon (Si) by atom. In some embodiments, a method for forming a silicon containing coating in a process chamber includes providing a first process gas comprising a silicon containing gas to an inner volume of the process chamber; and forming a silicon containing coating on an interior surface of the process chamber, wherein an outer surface of the silicon containing coating is at least 35 percent silicon.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: February 4, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Dongwon Choi, Dong Hyung Lee, Tze Poon, Manoj Vellaikal, Peter Porshnev, Majeed Foad
  • Patent number: 8629076
    Abstract: A metal oxide-carbon composite includes a carbon aerogel with an oxide overcoat. The metal oxide-carbon composite is made by providing a carbon aerogel, immersing the carbon aerogel in a metal oxide sol under a vacuum, raising the carbon aerogel with the metal oxide sol to atmospheric pressure, curing the carbon aerogel with the metal oxide sol at room temperature, and drying the carbon aerogel with the metal oxide sol to produce the metal oxide-carbon composite. The step of providing a carbon aerogel can provide an activated carbon aerogel or provide a carbon aerogel with carbon nanotubes that make the carbon aerogel mechanically robust. Carbon aerogels can be coated with sol-gel silica and the silica can be converted to silicon carbide, improving the thermal stability of the carbon aerogel.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: January 14, 2014
    Assignee: Lawrence Livermore National Security, LLC
    Inventors: Marcus A. Worsley, Joshua D. Kuntz, Theodore F. Baumann, Joe H. Satcher, Jr.
  • Publication number: 20140004277
    Abstract: A method of manufacturing an optical component by forming an optical thin film on a substrate made of resin using either an ion assisted deposition method or a plasma assisted deposition method, and by controlling a first parameter, which includes at least one of gas flow amount, irradiation duration, and applied power of the ion assisted method or the plasma assisted method, according to a second parameter relevant to a radius of curvature of the substrate.
    Type: Application
    Filed: August 9, 2013
    Publication date: January 2, 2014
    Applicant: Olympus Corporation
    Inventors: Takeshi Deguchi, Yoshito Ito, Kei Kikuchi, Nobuyoshi Toyohara
  • Publication number: 20140004022
    Abstract: A chromatography vial is disclosed comprising a thermoplastic wall and a coating on the wall. The coating comprises a PECVD barrier coating or layer of SiOx, where x is from 1.5 to 2.9, on the interior surface of the vial wall. Also disclosed is a method of applying the barrier coating of SiOx, in which x is from about 1.5 to about 2.9, on a chromatography vial as identified above. Other functional layers, such as a pH protective layer and a tie layer, can also be included as part of the coating on the wall.
    Type: Application
    Filed: August 30, 2013
    Publication date: January 2, 2014
    Applicant: SiO2 Medical Products, Inc.
    Inventors: Peter J. Sagona, Jason Breaux
  • Patent number: 8618183
    Abstract: A method of forming a porous composite material in which substantially all of the pores within the composite material are small having a diameter of about 5 nm or less and with a narrow PSD is provided. The porous composite material includes a first solid phase having a first characteristic dimension and a second phase comprised of pores having a second characteristic dimension, wherein the characteristic dimensions of at least one of said phases is controlled to a value of about 5 nm or less.
    Type: Grant
    Filed: September 1, 2012
    Date of Patent: December 31, 2013
    Assignee: International Business Machines Corporation
    Inventors: Stephen M. Gates, Alfred Grill, Deborah A. Neumayer, Son Nguyen, Vishnubhai V. Patel
  • Publication number: 20130330482
    Abstract: The present invention relates to carbon-doped silicon nitride thin film and forming method and device thereof The carbon-doped silicon nitride thin film is prepared by using a precursor having at least one of bis(dimethylamino)diethylsilane, N,N-Dimethyltrimethylsilylamine and a cyclic structure with a N—Si bond. The method for forming a carbon-doped silicon nitride thin film includes: providing a precursor having at least one of bis(dimethylamino)diethylsilane, N,N-Dimethyltrimethylsilylamine and a cyclic structure with a N—Si bond to form the carbon-doped silicon nitride thin film. The device for forming the carbon-doped silicon nitride thin film includes a reactor and a container with the aforementioned precursor coupled to the reactor.
    Type: Application
    Filed: January 30, 2013
    Publication date: December 12, 2013
    Applicant: NATIONAL CHIAO TUNG UNIVERSITY
    Inventors: Jihperng Leu, Hung-En Tu, Wei-Gan Chiu
  • Publication number: 20130323435
    Abstract: Described herein are precursors and methods for forming silicon-containing films. In one aspect, there is provided a precursor of Formula I: wherein R1 is selected from linear or branched C3 to C10 alkyl group, linear or branched C3 to C10 alkenyl group, linear or branched C3 to C10 alkynyl group, C1 to C6 dialkylamino group, electron withdrawing group, and C6 to C10 aryl group; R2 is selected from hydrogen, linear or branched C1 to C10 alkyl group, linear or branched C3 to C6 alkenyl group, linear or branched C3 to C6 alkynyl group, C1 to C6 dialkylamino group, C6 to C10 aryl group, linear or branched C1 to C6 fluorinated alkyl group, electron withdrawing group, and C4 to C10 aryl group; optionally wherein R1 and R2 are linked together to form ring selected from substituted or unsubstituted aromatic ring or substituted or unsubstituted aliphatic ring; and n=1 or 2.
    Type: Application
    Filed: May 24, 2013
    Publication date: December 5, 2013
    Applicant: Air Products And Chemicals, Inc.
    Inventors: Manchao Xiao, Xinjian Lei, Daniel P. Spence, Haripin Chandra, Bing Han, Mark Leonard O'Neill, Steven Gerard Mayorga, Anupama Mallikarjunan
  • Publication number: 20130321922
    Abstract: The disclosure is directed to a coating consisting of a binary metal fluoride coating consisting a high refractive index metal fluoride layer on top of a substrate, a low refractive index metal fluoride layer on top of the high refractive index layer and layer of SiO2 or F—SiO2 containing 0.2 wt % to 4.5 (2000 ppm to 45,000 ppm) F on top of the low refractive index layer. In one embodiment the F content of F—SiO2 is in the range of 5000 ppm to 10,000 ppm F. The high index and low index materials are each deposited to a thickness of less than or equal to 0.9 quarter wave, and the capping material is deposited to a thickness in the range of 5 nm to 25 nm. The disclosure is also directed to optical elements having the foregoing coating and a method of making the coating.
    Type: Application
    Filed: March 15, 2013
    Publication date: December 5, 2013
    Applicant: Corning Incorporated
    Inventors: Michael Jerome Cangemi, Paul Gerard Dewa, Joseph D. Malach, Paul Francis Michaloski, Horst Schreiber, Jue Wang
  • Publication number: 20130319290
    Abstract: Described herein are precursors and methods for forming silicon-containing films. In one aspect, there is a precursor of following Formula I: wherein R1 and R3 are independently selected from linear or branched C3 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C1 to C6 dialkylamino group, an electron withdrawing and a C6 to C10 aryl group; R2 and R4 are independently selected from hydrogen, a linear or branched C3 to C10 alkyl group, a linear or branched C3 to C10 alkenyl group, a linear or branched C3 to C10 alkynyl group, a C1 to C6 dialkylamino group, an electron withdrawing, and a C6 to C10 aryl group; and wherein any one, all, or none of R1 and R2, R3 and R4, R1 and R3, or R2 and R4 are linked to form a ring.
    Type: Application
    Filed: May 24, 2013
    Publication date: December 5, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Daniel P. Spence, Haripin Chandra, Mark Leonard O'Neill
  • Publication number: 20130323436
    Abstract: Provided are a method for producing a film, which is satisfactory in productivity, exhibits high gas-barrier property immediately after production, and has excellent adhesive strength between constituent layers while maintaining the excellent gas-barrier property, and a gas-barrier film, which is obtained by the method. The method for producing a gas-barrier film includes the steps of: (1) forming an inorganic thin film by a vacuum deposition method on at least one surface of a base film; (2) forming a thin film by a plasma CVD method on the inorganic thin film formed in the step (1); and (3) forming an inorganic thin film by the vacuum deposition method on the thin film formed in the step (2), in which each of the steps (1) and (3), and the step (2) are sequentially carried out at a pressure of 1×10?7 to 1 Pa, and at a pressure of 1×10?3 to 1×102 Pa, respectively.
    Type: Application
    Filed: August 9, 2013
    Publication date: December 5, 2013
    Applicant: MITSUBISHI PLASTICS, INC.
    Inventors: Shigenobu YOSHIDA, Chiharu Okawara, Kota Ozeki
  • Patent number: 8592328
    Abstract: Described are methods of making silicon nitride (SiN) materials on substrates. Improved SiN films made by the methods are also included. One aspect relates to depositing chlorine (Cl)-free conformal SiN films. In some embodiments, the SiN films are Cl-free and carbon (C)-free. Another aspect relates to methods of tuning the stress and/or wet etch rate of conformal SiN films. Another aspect relates to low-temperature methods of depositing high quality conformal SiN films. In some embodiments, the methods involve using trisilylamine (TSA) as a silicon-containing precursor.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: November 26, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Dennis Hausmann, Jon Henri, Bart van Schravendijk, Easwar Srinivasan
  • Patent number: 8592015
    Abstract: In order to improve the emptying of residual contents from containers, such as pharmaceutical packaging, the invention provides corresponding substrates with a hydrophobic coating. Provided for this purpose is a composite material which comprises a substrate and a coating deposited on it, which forms at least a part of the surface of the coated substrate, with the coating having a compound containing the elements C, O, and H, with further elements, apart from Si, C, H, having a content of less than 10 at %, preferably less than 5 at %, characterized in that this compound has a composition SiOxCyHz, in which x is at most 1.2.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: November 26, 2013
    Assignee: Schott AG
    Inventors: Matthias Bicker, Hartmut Bauch, Andreas Hahn, Stefan Bauer, Manfred Lohmeyer, Robert Hormes
  • Publication number: 20130288044
    Abstract: Composites comprising at least one silicon-oxy-carbide (SOC) layer deposited onto a polymeric matrix substrate to enhance their thermo-oxidative stability are provided. The SOC layer is formed onto the polymeric matrix substrate by atmospheric plasma deposition to produce an thermo-oxidative barrier coating or an adhesion-promoting layer to enable the deposition of a variety of known (or future developed) metallic and/or ceramic materials as oxygen and/or thermal barriers.
    Type: Application
    Filed: March 15, 2013
    Publication date: October 31, 2013
    Applicant: The Boeing Company
    Inventors: Thomas K. Tsotsis, Marvi A. Matos, Alpana Ranade, Laura M. Murphy
  • Publication number: 20130287969
    Abstract: The invention relates to a method for producing a transparent barrier layer system, wherein in a vacuum chamber at least two transparent barrier layers and a transparent intermediate layer disposed between the two barrier layers are deposited on a transparent plastic film, wherein for deposition of the barrier layers aluminium is vaporised and simultaneously at least one first reactive gas is introduced into the vacuum chamber and wherein for deposition of the intermediate layer aluminium is vaporised and simultaneously at least one second reactive gas is introduced into the vacuum chamber, and a silicon-containing layer is deposited as intermediate layer by means of a PECVD process.
    Type: Application
    Filed: February 15, 2012
    Publication date: October 31, 2013
    Applicant: FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Steffen Guenther, Bjoern Meyer, Steffen Straach, Thomas Kuehnel, Sebastian Bunk, Nicolas Schiller
  • Publication number: 20130280542
    Abstract: In a method for producing a pyrolysis compatible component of a cooking appliance, designed to carry out a pyrolysis operation a silicon dioxide coating is applied on a base part of the component by PECVD deposition. PECVD deposition can hereby involve high-rate PECVD deposition at a speed faster than 0.5 ?m/min.
    Type: Application
    Filed: December 16, 2011
    Publication date: October 24, 2013
    Applicant: BSH BOSCH UND SIEMENS HAUSGERÄTE GMBH
    Inventors: Jochen Herbolsheimer, Frank Jördens, Jürgen Salomon, Philipp Schaller, Gerhard Schmidmayer
  • Patent number: 8563095
    Abstract: A method of forming a passivation layer comprising silicon nitride on features of a substrate is described. In a first stage of the deposition method, a dielectric deposition gas, comprising a silicon-containing gas and a nitrogen-containing gas, is introduced into the process zone and energized to deposit a silicon nitride layer. In a second stage, a treatment gas, having a different composition than that of the dielectric deposition gas, is introduced into the process zone and energized to treat the silicon nitride layer. The first and second stages can be performed a plurality of times.
    Type: Grant
    Filed: March 15, 2010
    Date of Patent: October 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Nagarajan Rajagopalan, Xinhai Han, Ryan Yamase, Ji Ae Park, Shamik Patel, Thomas Nowak, Zhengjiang “David” Cui, Mehul Naik, Heung Lak Park, Ran Ding, Bok Hoen Kim