Silicon Oxides Or Nitrides Patents (Class 427/579)
  • Patent number: 8563096
    Abstract: A method for using a vertical film formation apparatus includes performing a coating process inside the process container without product target objects present therein to cover an inner surface of the process container with a coating film, and then performing a film formation process inside the process container accommodating the holder with the product target objects placed thereon to form a predetermined film on the product target objects. The coating process alternately supplies the first and second process gases into the process container without turning either of the first and second process gases into plasma. The film formation process alternately supplies the first and second process gases into the process container while turning at least one of the first and second process gases into plasma.
    Type: Grant
    Filed: November 26, 2010
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Matsunaga, Pao-Hwa Chou, Masato Yonezawa, Masayuki Hasegawa, Kazuhide Hasebe
  • Patent number: 8524332
    Abstract: A process for preparing a thin layer of a nanoporous dielectric material with homogeneous porosity is provided. The method includes depositing a first thin layer of an oxygen-free material onto a substrate. A second thin layer of a second material is deposited onto the first layer. The first layer and second layer assembly are treated under conditions so that a gas is generated in the first layer by foaming, leading to the creation of nanopores in the first layer. The second thin layer will not form a gas during this treatment and will have a sufficient density to limit or prevent the spread and/or diffusion of the gas generated in the first layer. The second thin layer may be removed.
    Type: Grant
    Filed: July 18, 2008
    Date of Patent: September 3, 2013
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Vincent Jousseaume
  • Patent number: 8524333
    Abstract: The method of manufacturing a gas barrier film feeds long lengths of a substrate and forms a silicon nitride film as the gas barrier film on the substrate by a capacitively coupled plasma-enhanced CVD technique while transporting the substrate in a longitudinal direction. Gaseous raw materials using in the forming step of the silicon nitride film includes at least silane gas and ammonia gas, and a ratio P/Q [W/sccm] is not less than 1 when a flow rate of the silane gas is denoted as Q [sccm] and a power input for generating a capacitively coupled plasma is denoted as P [W], a tension applied to the substrate transported between two transporting elements is not more than 100 [N/m], and a pair of electrodes for at least forming the silicon nitride film on the substrate is interposed between the two transporting elements.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: September 3, 2013
    Assignee: Fujifilm Corporation
    Inventor: Tatsuya Fujinami
  • Patent number: 8518182
    Abstract: A substrate processing apparatus comprising: a processing chamber which is to accommodate at least one substrate; a gas supply system which is to supply processing gas into the processing chamber; an exhaust system which is to exhaust atmosphere in the processing chamber; and at least one pair of electrodes which are to bring the processing gas into an active state and which are accommodated in protection tubes such that the electrodes can be inserted into and pulled out from the protection tubes, wherein the electrodes are accommodated in the protection tube in a state where at least a portion of the electrodes is bent, and the electrodes are formed of flexible members, is disclosed.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: August 27, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Shizue Ogawa, Kazuyuki Toyoda, Motonari Takebayashi, Tadashi Kontani, Nobuo Ishimaru
  • Publication number: 20130209766
    Abstract: A method for coating a substrate surface by PECVD is provided, the method comprising generating a plasma from a gaseous reactant comprising an organosilicon precursor and optionally O2. The lubricity, hydrophobicity and/or barrier properties of the coating are set by setting the ratio of the O2 to the organo silicon precursor in the gaseous reactant, and/or by setting the electric power used for generating the plasma. In particular, a lubricity coating made by said method is provided. Vessels coated by said method and the use of such vessels protecting a compound or composition contained or received in said coated vessel against mechanical and/or chemical effects of the surface of the uncoated vessel material are also provided.
    Type: Application
    Filed: May 11, 2011
    Publication date: August 15, 2013
    Inventors: John Felts, Tom Fisk, Robert Abrams, John Ferguson, Jonathan Freedman, Robert Pangborn, Peter Sagona
  • Patent number: 8491971
    Abstract: The producing method of a gas barrier layer uses a material having at least one Si—H bond, a material having at least one N—H bond, and at least one of nitrogen gas, hydrogen gas and a noble gas and forms the gas barrier layer by plasma-enhanced CVD using a plasma in which an emission intensity A of emission at 414 nm, an emission intensity B of emission at 336 nm, an emission intensity C of emission at 337 nm, and an emission intensity D of emission at 656 nm satisfy formulas a to c: 2<B/A<20??Formula a: C/B<2??Formula b: 0.5<D/B<50.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: July 23, 2013
    Assignee: Fujifilm Corporation
    Inventor: Toshiya Takahashi
  • Publication number: 20130183458
    Abstract: A method of depositing phosphosilicate glass (PSG) is disclosed. The method includes a first deposition step for depositing a first PSG layer with a sputtering deposition ratio of 0.10 to 0.16, and a second deposition step for depositing a second PSG layer with a sputtering deposition ratio of 0.18 to 0.22 after the first deposition step. The first PSG layer has a thickness smaller than that of the second PSG layer. With such two-step deposition method, flower pattern having a dramatically reduced size can be formed without occurrence of clipping or formation of sidewall voids in the resultant gate patterns. Specifically, the formed flower pattern has a height reduced by about 50% and a thickness reduced by about 30%.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 18, 2013
    Applicant: SHANGHAI HUALI MICROELECTRONICS CORPORATION
    Inventor: SHANGHAI HUALI MICROELECTRONICS CORPORATION
  • Publication number: 20130177441
    Abstract: A gas turbine blade may have a bond coat applied to its surface. The bond coat may include silicon and a reactive material. The reactive material may react with thermally grown oxide generated at the bond layer to prevent and reverse creep. One or more protective layers may be applied to the bond layer.
    Type: Application
    Filed: January 11, 2012
    Publication date: July 11, 2013
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Rupak Das, John McConnell Delvaux, James Zhang
  • Patent number: 8470434
    Abstract: The invention relates to a transparent glass substrate, associated with a transparent electro-conductive layer capable of constituting an electrode of a photovoltaic cell and composed of a doped oxide, characterized by the interposition, between the glass substrate and the transparent electroconductive layer, of a mixed layer of one or more first nitride(s) or oxynitride(s), or oxide(s) or oxycarbide(s) having good adhesive properties with glass, and one or more second nitride(s) or oxynitride(s) or oxide(s) or oxycarbide(s) capable of constituting, possibly in the doped state, a transparent electroconductive layer; a method for producing this substrate; a photovoltaic cell, a tempered and/or curved glass, a shaped heating glass, a plasma screen and a flat lamp electrode having this substrate.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: June 25, 2013
    Assignee: Saint-Gobain Glass France
    Inventors: Bernard Nghiem, Emilie Viasnoff, Bertrand Kuhn, David Le Bellac, Anne Durandeau, Fabrice Abbott, Eddy Royer, Georges Zagdoun, Olivier Dubois
  • Patent number: 8465812
    Abstract: A hard, transparent coating for a substrate and associated method for coating is disclosed. The coating includes alternating layers of a soft coating and a hard coating. The coating further includes a sensor. The electrical resistivity of the sensor may be measured to determine if the coating has been degraded. The coating may further include a hydrophobic outer layer.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: June 18, 2013
    Assignee: The Boeing Company
    Inventors: Kjersta L. Larson-Smith, Vasan S. Sundaram, David A. Bowen, Shawn M. Pare, Liam S. C. Pingree
  • Patent number: 8465811
    Abstract: A thin film is formed by alternating multiple times, respectively, a process of adsorbing a precursor onto a substrate and a process of treating the adsorbed surface using a reactant gas and a plasma, wherein the reactant gas is supplied substantially uniformly over the substrate, and the plasma is pulse-time-modulated and applied in the process of supplying the reactant gas.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: June 18, 2013
    Assignee: ASM Japan K.K.
    Inventor: Shintaro Ueda
  • Publication number: 20130149462
    Abstract: A method of forming a dielectric layer is described. The method first deposits a silicon-nitrogen-and-hydrogen-containing (polysilazane) layer by radical-component chemical vapor deposition (CVD). The silicon-nitrogen-and-hydrogen-containing layer is formed by combining a radical precursor (excited in a remote plasma) with an unexcited carbon-free silicon precursor. A silicon oxide capping layer may be formed from a portion of the carbon-free silicon-nitrogen-and-hydrogen-containing layer to avoid time-evolution of underlying layer properties prior to conversion into silicon oxide. Alternatively, the silicon oxide capping layer is formed over the silicon-nitrogen-and-hydrogen-containing layer. Either method of formation involves the formation of a local plasma within the substrate processing region.
    Type: Application
    Filed: June 12, 2012
    Publication date: June 13, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Jingmei Liang, Xiaolin Chen, Nitin K. Ingle, Shankar Venkataraman
  • Publication number: 20130143018
    Abstract: Coated polymer compositions having improved dielectric strength are disclosed. The coated polymer compositions can comprise a polymer substrate and an inorganic material. This abstract is intended as a scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 6, 2013
    Applicant: Sabic Innovative Plastics IP B.V.
    Inventor: Sabic Innovative Plastics IP B.V.
  • Patent number: 8455293
    Abstract: A method for processing solar cells comprising: providing a vertical furnace to receive an array of mutually spaced circular semiconductor wafers for integrated circuit processing; composing a process chamber loading configuration for solar cell substrates, wherein a size of the solar cell substrates that extends along a first surface to be processed is smaller than a corresponding size of the circular semiconductor wafers, such that multiple arrays of mutually spaced solar cell substrates can be accommodated in the process chamber, loading the solar cell substrates into the process chamber; subjecting the solar cell substrates to a process in the process chamber.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: June 4, 2013
    Assignee: ASM International N.V.
    Inventors: Chris G. M. de Ridder, Klaas P. Boonstra, Adriaan Garssen, Frank Huussen
  • Patent number: 8455059
    Abstract: A method according to the invention comprises: starting plasma discharge for forming the gas barrier layer in a film deposition chamber; and producing the gas barrier layer by using a plasma after a first predetermined period of time has elapsed from a start of the plasma discharge.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: June 4, 2013
    Assignee: Fujifilm Corporation
    Inventors: Toshiya Takahashi, Kouji Tonohara, Shinsuke Takahashi
  • Patent number: 8445078
    Abstract: A method of forming a silicon oxide layer is described. The method first deposits a silicon-nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD). The polysilazane film is converted to silicon oxide by exposing the polysilazane film to humidity at low substrate temperature. The polysilazane film may also be dipped in a liquid having both oxygen and hydrogen, such as water, hydrogen peroxide and or ammonium hydroxide. These conversion techniques may be used separately or in a sequential combination. Conversion techniques described herein hasten conversion, produce manufacturing-worthy films and remove the requirement of a high temperature oxidation treatment. An ozone treatment may precede the conversion technique(s).
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: May 21, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Jingmei Liang, Nitin K. Ingle, Sukwon Hong, Anjana M. Patel
  • Patent number: 8440268
    Abstract: Oxygen gas, for example, is introduced into a film forming chamber, and high-frequency power is supplied to a plurality of monopole antennas arranged above a silicon substrate (101) in the film forming chamber to generate a plasma of the introduced oxygen gas, thereby supplying atomic oxygen (123) onto the surface of an aminosilane molecular layer (102). This plasma generation is performed for about 1 sec. With this operation, the adsorption layer (102) adsorbed onto the surface of the silicon substrate (101) is oxidized, thereby forming a silicon oxide layer (112) corresponding to one atomic layer of silicon on the surface of the silicon substrate (101).
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: May 14, 2013
    Assignee: Mitsui Engineering & Shipbuilding Co., Ltd.
    Inventors: Keisuki Washio, Kazutoshi Murata, Naomasa Miyatake, Hiroyuki Tachibana, Nozomu Hattori
  • Publication number: 20130078392
    Abstract: Described herein are precursors and methods of forming films. In one aspect, there is provided a precursor having Formula I: XmR1nHpSi(NR2R3)4-m-n-p??I wherein X is selected from Cl, Br, I; R1 is selected from linear or branched C1-C10 alkyl group, a C2-C12 alkenyl group, a C2-C12 alkynyl group, a C4-C10 cyclic alkyl, and a C6-C10 aryl group; R2 is selected from a linear or branched C1-C10 alkyl, a C3-C12 alkenyl group, a C3-C12 alkynyl group, a C4-C10 cyclic alkyl group, and a C6-C10 aryl group; R3 is selected from a branched C3-C10 alkyl group, a C3-C12 alkenyl group, a C3-C12 alkynyl group, a C4-C10 cyclic alkyl group, and a C6-C10 aryl group; m is 1 or 2; n is 0, 1, or 2; p is 0, 1 or 2; and m+n+p is less than 4, wherein R2 and R3 are linked or not linked to form a ring.
    Type: Application
    Filed: September 18, 2012
    Publication date: March 28, 2013
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Xinjian Lei, Mark Leonard O'Neill, Bing Han, Ronald Martin Pearlstein, Haripin Chandra, Heather Regina Bowen, Agnes Derecskei-Kovacs
  • Patent number: 8404315
    Abstract: A process for manufacturing a permeable dielectric film, includes the deposition on a substrate of a film constituted of a material comprising silicon, carbon, hydrogen, oxygen and, possibly, nitrogen and/or fluorine, a majority of Si—C bonds and a proportion of Si—O bonds such that the oxygen present in said material represents less than 30 atom %; and the selective destruction with a chemical agent of the Si—O bonds present in the film. Applications include microelectronics and microtechnology, in any manufacturing process that involves the degradation of a sacrificial material by diffusion of a chemical agent through a film that is permeable to this agent, for the production of air gaps, in particular the manufacture of air-gap interconnects for integrated circuits.
    Type: Grant
    Filed: January 14, 2009
    Date of Patent: March 26, 2013
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Vincent Jousseaume
  • Patent number: 8394197
    Abstract: Enhanced corrosion resistance is achieved in a coating by using a germanium-containing precursor and hollow cathode techniques to form a first layer directly on the surface of a workpiece, prior to forming an outer layer, such as a layer of diamond-like carbon (DLC). The use of a germanium or germanium-carbide precursor reduces film stress and enables an increase in the thickness of the subsequently formed DLC. Germanium incorporation also reduces the porosity of the layer. In one embodiment, a cap layer containing germanium is added after the DLC in order to further reduce the susceptibility of the coating to chemical penetration from the top.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: March 12, 2013
    Assignee: Sub-One Technology, Inc.
    Inventors: Andrew W. Tudhope, Thomas B. Casserly, Karthik Boinapally, Deepak Upadhyaya, William J. Boardman
  • Patent number: 8394466
    Abstract: A method of forming a conformal dielectric film having Si—N bonds on a substrate having a patterned surface includes: introducing a reactant gas into a reaction space; introducing a silicon precursor in pulses of less than 5-second duration into the reaction space; applying a first RF power to the reaction space during the pulse of the silicon precursor; applying a second RF power to the reaction space during the interval of the silicon precursor pulse, wherein an average intensity of the second RF power during the interval of the silicon precursor pulse is greater than that of the first RF power during the pulse of the silicon precursor; and repeating the cycle to form a conformal dielectric film having Si—N bonds with a desired thickness on the patterned surface of the substrate.
    Type: Grant
    Filed: September 3, 2010
    Date of Patent: March 12, 2013
    Assignee: ASM Japan K.K.
    Inventors: Kuo-wei Hong, Akira Shimizu, Kunitoshi Namba, Woo-Jin Lee
  • Publication number: 20130037873
    Abstract: Provided is a semiconductor device capable of preventing destruction of an electrode having a pillar shape and densely arranged. The semiconductor device having a field-effect transistor and a capacitor having a pillar shape, the semiconductor device includes: a first electrode having a pillar shape and electrically connected to an impurity diffusion region of the field-effect transistor; a dielectric film formed at least on a side of the first electrode; a second electrode formed on the dielectric film; and a support film extending in a direction crossing a length direction of the first electrode having the pillar shape, and formed by a boron-added silicon nitride film connected to the first electrode by penetrating through at least a part of the second electrode.
    Type: Application
    Filed: August 10, 2012
    Publication date: February 14, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Keisuke SUZUKI, Kentaro KADONAGA, Yuichiro MOROZUMI
  • Patent number: 8357430
    Abstract: (Problem) To provide a method for producing silicon nitride films by vapor deposition that, while employing trisilylamine as precursor, can produce silicon nitride films that exhibit excellent film properties and can do so at relatively low temperatures and relatively high growth rates. (Solution) Method for producing silicon nitride film, said method being characterized by feeding gaseous trisilylamine and gaseous nitrogen source comprising at least two amine-type compounds selected from amine-type compounds with formula (1) NR1R2R3 (R1, R2, and R3 are each independently selected from hydrogen and C1-6 hydrocarbyl) into a reaction chamber that holds at least one substrate and forming silicon nitride film on said at least one substrate by reacting the trisilylamine and said nitrogen source.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: January 22, 2013
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Christian Dussarrat, Jean-Marc Girard, Takako Kimura
  • Patent number: 8349401
    Abstract: A method for using a film formation apparatus includes performing a main cleaning process and a post cleaning process in this order inside a reaction chamber. The main cleaning process is arranged to supply a cleaning gas containing fluorine into the reaction chamber while exhausting gas from inside the reaction chamber, thereby etching a film formation by-product containing silicon. The post cleaning process is arranged to remove a silicon-containing fluoride generated by the main cleaning process and remaining inside the reaction chamber and to alternately repeat, a plurality of times, supplying an oxidizing gas into the reaction chamber to transform the silicon-containing fluoride into an intermediate product by oxidization, and supplying hydrogen fluoride gas into the reaction chamber while exhausting gas from inside the reaction chamber to remove the intermediate product by a reaction between the hydrogen fluoride gas and the intermediate product.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: January 8, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Jun Sato, Kiyotaka Kikuchi, Hiroki Murakami, Shigeru Nakajima, Kazuhide Hasebe
  • Patent number: 8343594
    Abstract: A film formation apparatus for a semiconductor process includes a process gas supply system configured to supply process gases. The process gas supply system includes a gas mixture tank configured to mix first and third process gases to form a mixture gas, a mixture gas supply line configured to supply the mixture gas from the gas mixture tank to a process field, a second process gas supply circuit having a second process gas supply line configured to supply a second process gas to the process field without passing through the gas mixture tank, and first and second switching valves disposed on the mixture gas supply line and the second process gas supply line, respectively. A control section controls the first and second switching valves to be opened and closed so as to alternately and pulse-wise supply the mixture gas and the second process gas to the process field.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Mitsuhiro Okada, Chaeho Kim, Byounghoon Lee, Pao-Hwa Chou
  • Patent number: 8337960
    Abstract: A seasoning method for a film-forming apparatus configured to form a silicon nitride film on a substrate placed in a process chamber. The method is conducted for reducing particles in the apparatus. The method comprises executing the plasma cleaning of the process chamber to remove a film deposited on the inner wall thereof (step S1), subsequently depositing an amorphous silicon film (step S2), depositing thereon a silicon nitride film in which the nitrogen content gradually increases in the thickness direction (step S3), and keeping the inside of the process chamber being filled with a rare-gas plasma until film formation on the substrate is initiated (step S4).
    Type: Grant
    Filed: February 19, 2007
    Date of Patent: December 25, 2012
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Tadashi Shimazu, Yuichi Kawano
  • Patent number: 8329262
    Abstract: Methods of forming a silicon-and-nitrogen-containing layers and silicon oxide layers are described. The methods include the steps of mixing a carbon-free silicon-containing precursor with plasma effluents, and depositing a silicon-and-nitrogen-containing layer on a substrate. The silicon-and-nitrogen-containing layers may be made flowable or conformal by selection of the flow rate of excited effluents from a remote plasma region into the substrate processing region. The plasma effluents are formed in a plasma by flowing inert gas(es) into the plasma. The silicon-and-nitrogen-containing layer may be converted to a silicon-and-oxygen-containing layer by curing and annealing the film.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: December 11, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Matthew L. Miller, Jang-Gyoo Yang
  • Patent number: 8323753
    Abstract: Disclosed are methods for deposition of a chemical compound or element using an atmospheric pressure glow discharge plasma in a treatment space comprising two electrodes connected to a power supply for providing electrical power during an on-time (ton). The treatment space is filled with a gas composition of an active and an inert gas mixture, including a precursor of the chemical compound or element to be deposited. Dust formation is prevented by using Nitrogen in the gas composition, applying short pulses and using a predetermined residence time of the gas composition in the treatment space. Best results are obtained when using a stabilized plasma.
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: December 4, 2012
    Assignee: Fujifilm Manufacturing Europe B.V.
    Inventors: Hindrik Willem De Vries, Eugen Aldea, Serguei Alexandrovich Starostine, Mariadriana Creatore, Mauritius Cornelius Maria Van De Sanden
  • Patent number: 8323754
    Abstract: In one embodiment, a method for forming a dielectric stack on a substrate is provided which includes depositing a first layer of a dielectric material on a substrate surface, exposing the first layer to a nitridation process, depositing a second layer of the dielectric material on the first layer, exposing the second layer to the nitridation process, and exposing the substrate to an anneal process. In another embodiment, a method for forming a dielectric material on a substrate is provided which includes depositing a metal oxide layer substantially free of silicon on a substrate surface, exposing the metal oxide layer to a nitridation process, and exposing the substrate to an anneal process.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: December 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Olsen, Pravin K. Narwankar, Shreyas S. Kher, Randhir Thakur, Shankar Muthukrishnan, Philip A. Kraus
  • Patent number: 8318267
    Abstract: An object to be processed which has silicon on its surface is loaded in a processing chamber. A plasma of a processing gas containing oxygen gas and nitrogen gas is generated in the processing chamber. The silicon on the surface of the object to be processed is oxidized by the plasma, thereby forming a silicon oxide film.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: November 27, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiro Kabe, Junichi Kitagawa, Sunao Muraoka
  • Publication number: 20120295119
    Abstract: A method of making a coated metal article comprises (a) forming a hardcoat layer on at least a portion of a surface of a metal or metalized substrate by physical vapor deposition; (b) forming a tie layer comprising silicon, oxygen, and hydrogen on at least a portion of the surface of the hardcoat layer by plasma deposition; and (c) applying an at least partially fluorinated composition comprising at least one silane group to at least a portion of the surface of the tie layer.
    Type: Application
    Filed: September 17, 2010
    Publication date: November 22, 2012
    Inventor: Moses M. David
  • Patent number: 8313813
    Abstract: A process for treating a surface of an aluminium component and an aluminium component of this kind are described. The process described is characterized in that a silicon oxide layer is applied to the surface of the aluminium component.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: November 20, 2012
    Assignee: Decoma (Germany) GmbH
    Inventor: Rainer Seufferlein
  • Patent number: 8298628
    Abstract: This invention discloses the method of forming silicon nitride, silicon oxynitride, silicon oxide, carbon-doped silicon nitride, carbon-doped silicon oxide and carbon-doped oxynitride films at low deposition temperatures. The silicon containing precursors used for the deposition are monochlorosilane (MCS) and monochloroalkylsilanes. The method is preferably carried out by using plasma enhanced atomic layer deposition, plasma enhanced chemical vapor deposition, and plasma enhanced cyclic chemical vapor deposition.
    Type: Grant
    Filed: June 2, 2009
    Date of Patent: October 30, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Liu Yang, Xinjian Lei, Bing Han, Manchao Xiao, Eugene Joseph Karwacki, Jr., Kazuhide Hasebe, Masanobu Matsunaga, Masato Yonezawa, Hansong Cheng
  • Publication number: 20120269989
    Abstract: A method of forming a silicon oxide layer is described. The method first deposits a silicon-nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD). The polysilazane film is converted to silicon oxide by exposing the polysilazane film to humidity at low substrate temperature. The polysilazane film may also be dipped in a liquid having both oxygen and hydrogen, such as water, hydrogen peroxide and or ammonium hydroxide. These conversion techniques may be used separately or in a sequential combination. Conversion techniques described herein hasten conversion, produce manufacturing-worthy films and remove the requirement of a high temperature oxidation treatment. An ozone treatment may precede the conversion technique(s).
    Type: Application
    Filed: September 20, 2011
    Publication date: October 25, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Jingmei Liang, Nitin K. Ingle, Sukwon Hong, Anjana M. Patel
  • Publication number: 20120263888
    Abstract: A method is provided for using a film formation apparatus including a process container having an inner surface, which contains as a main component a material selected from the group consisting of quartz and silicon carbide. The method includes performing a film formation process to form a silicon nitride film on a product target substrate inside the process container, and then, unloading the product target substrate from the process container. Thereafter, the method includes supplying an oxidizing gas into the process container with no product target substrate accommodated therein, thereby performing an oxidation process to change by-product films deposited on the inner surface of the process container into a composition richer in oxygen than nitrogen, at a part of the by-product films from a surface thereof to a predetermined depth.
    Type: Application
    Filed: June 22, 2012
    Publication date: October 18, 2012
    Applicant: Tokyo Electron Limited
    Inventor: Hiroyuki MATSUURA
  • Publication number: 20120262790
    Abstract: The present invention relates to a method of making an anti-reflective coating to an optical surface of a mold. In one embodiment, the method includes the steps of: providing a lens mold having an optical surface; forming a layer of a first hydrophobic material with a monolayer thickness over the optical surface; forming a layer of a second hydrophobic material with a thickness of about 10 to 50 nm over the layer of a first hydrophobic material, wherein the first and second hydrophobic materials are different; forming an anti-reflective coating layered structure over the layer of a second hydrophobic material; and forming a layer of a coupling agent that is deposited using vapor deposition and with a thickness of about 1 to 10 nm over the anti-reflective coating layered structure.
    Type: Application
    Filed: April 15, 2011
    Publication date: October 18, 2012
    Applicant: QSPEX TECHNOLOGIES, INC.
    Inventors: Kai C. Su, Leslie F. Stebbins, Bill Mantch, Eugene C. Letter
  • Patent number: 8273419
    Abstract: A method of forming a gas barrier layer comprises: forming a first layer over a substrate by plasma-enhanced CVD at a first pressure, at least a part of a surface of the substrate being made of an organic material; and forming a second layer on the first layer by plasma-enhanced CVD at a second pressure which is lower than the first pressure.
    Type: Grant
    Filed: August 14, 2009
    Date of Patent: September 25, 2012
    Assignee: FUJIFILM Corporation
    Inventor: Toshiya Takahashi
  • Publication number: 20120236385
    Abstract: A display substrate includes a base substrate, a high reflective layer, a metal light reflective layer and a low reflective layer. The high reflective layer is on the base substrate, and includes a high refractive layer and a low refractive layer which alternate with each other. The high refractive layer has a first refractive index, and the low refractive layer has a second refractive index smaller than the first refractive index. The metal light reflective layer is between the high reflective layer and the low reflective layer, and reflects a light. The low reflective layer comprises a light absorbing layer which absorbs a light, and at least one insulating layer. Accordingly, a light utilizing efficiency and a display quality may be increased.
    Type: Application
    Filed: January 4, 2012
    Publication date: September 20, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Sik Yun, Jae-Byung PARK, Jin-Seob BYUN, Hyun-Min CHO, Yu-Kwan KIM
  • Patent number: 8268411
    Abstract: A method of forming a porous composite material in which substantially all of the pores within the composite material are small having a diameter of about 5 nm or less and with a narrow PSD is provided. The porous composite material includes a first solid phase having a first characteristic dimension and a second phase comprised of pores having a second characteristic dimension, wherein the characteristic dimensions of at least one of said phases is controlled to a value of about 5 nm or less.
    Type: Grant
    Filed: August 8, 2009
    Date of Patent: September 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Stephen M. Gates, Alfred Grill, Deborah A. Neumayer, Son Nguyen, Vishnubhai V. Patel
  • Publication number: 20120231182
    Abstract: An apparatus for treating the interior of containers includes a chamber for holding a container and provides precursor materials via an annulus formed by coaxially arranged electrodes at which plasma is created upon application of voltage and the container is treated.
    Type: Application
    Filed: March 10, 2012
    Publication date: September 13, 2012
    Applicant: KAIATECH, INC.
    Inventors: Ronald Stevens, Gabriel Ormonde, James Mitchener
  • Publication number: 20120219727
    Abstract: The formation of a barrier layer within individual channels or cavities of a microfluidic device is described. The barrier layer is effected through a gas phase deposition process, desirably implemented in a plasma environment using a gas plasma reactor. Judicious selection of a precursor compound used within the gas plasma reactor can provide for generation of a layer on the individual surfaces. Desirably the surface or barrier layer is generated through the chemical adsorption of a metalloid oxide such as a silicon oxide layer on the surface of the individual channels or cavities.
    Type: Application
    Filed: June 18, 2010
    Publication date: August 30, 2012
    Applicant: Dublin City University
    Inventors: Ram Prasad Gandhiraman, Lourdes Basabe-Desmonts, Asif Riaz, Luke Lee, Ivan Dimov, Jens Ducree, Stephen Michael Daniels
  • Patent number: 8236388
    Abstract: A method of producing a gas barrier film comprises the steps of: supplying a material gas including silane gas, ammonia gas and at least one of nitrogen gas and hydrogen gas to a process chamber; keeping the process chamber at an internal pressure of 20 to 200 Pa; holding a substrate in the process chamber at a substrate temperature of not more than 70° C.; forming a bias potential of ?100 V or less at the substrate; and supplying power P (W) to the material gas so as to have a ratio P/Q of the power P to a silane gas flow rate Q (sccm) of 15 to 30 W/sccm to generate plasma, thereby depositing a silicon nitride layer on a surface of the substrate.
    Type: Grant
    Filed: September 3, 2009
    Date of Patent: August 7, 2012
    Assignee: FUJIFILM Corporation
    Inventors: Tatsuya Fujinami, Toshiya Takahashi
  • Patent number: 8221889
    Abstract: A flexible substrate for a TFT includes a metal substrate having a predetermined coefficient of thermal expansion, and a buffer layer on the metal substrate, the buffer layer including a silicon oxide or a silicon nitride, wherein the predetermined coefficient of thermal expansion of the metal substrate satisfies an equation as follows, ? f + 0.162 × ( 1 - v f ) E f ? ? s ? ? f + 0.889 × ( 1 - v f ) E f Ef representing Young's modulus of the buffer layer, vf representing Poisson's ratio of the buffer layer, ?f representing a coefficient of thermal expansion of the buffer layer, and ?s representing the predetermined coefficient of thermal expansion of the metal substrate.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: July 17, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Jae-Seob Lee, Dong-Un Jin, Yeon-Gon Mo, Tae-Woong Kim
  • Publication number: 20120177846
    Abstract: Methods of forming silicon oxide layers are described. The methods include concurrently combining plasma-excited (radical) steam with an unexcited silicon precursor. Nitrogen may be supplied through the plasma-excited route (e.g. by adding ammonia to the steam) and/or by choosing a nitrogen-containing unexcited silicon precursor. The methods result in depositing a silicon-oxygen-and-nitrogen-containing layer on a substrate. The oxygen content of the silicon-oxygen-and-nitrogen-containing layer is then increased to form a silicon oxide layer which may contain little or no nitrogen. The increase in oxygen content may be brought about by annealing the layer in the presence of an oxygen-containing atmosphere and the density of the film may be increased further by raising the temperature even higher in an inert environment.
    Type: Application
    Filed: September 19, 2011
    Publication date: July 12, 2012
    Applicant: Applied Materials, Inc.
    Inventors: DongQing Li, Jingmei Liang, Xiaolin Chen, Nitin K. Ingle
  • Patent number: 8216648
    Abstract: A film formation method includes setting a target object at a temperature of 150 to 550° C., the target object being placed inside the process container configured to hold a vacuum state therein, and then, repeating a cycle alternately including a first supply step and a second supply step a plurality of times to form a silicon nitride film on the target object. The first supply step is a step of supplying monochlorosilane gas as an Si source into the process container while setting the process container at a pressure of 66.65 to 666.5 Pa therein. The second supply step is a step of supplying a nitrogen-containing gas as a nitriding gas into the process container.
    Type: Grant
    Filed: November 26, 2010
    Date of Patent: July 10, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Matsunaga, Keisuke Suzuki, Jaehyuk Jang, Pao-Hwa Chou, Masato Yonezawa, Masayuki Hasegawa, Kazuhide Hasebe
  • Patent number: 8206794
    Abstract: A method for applying an abrasion-resistant coating to a substrate including the steps of generating an atmospheric plasma, introducing a precursor to the atmospheric plasma, the precursor being selected to form the abrasion-resistant coating, and positioning the substrate relative to the atmospheric plasma such that the atmospheric plasma deposits the abrasion-resistant coating onto the substrate.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: June 26, 2012
    Assignee: The Boeing Company
    Inventors: Liam S. C. Pingree, Vasan S. Sundaram, Michael R. Sirkis, Shawn M. Pare
  • Patent number: 8197915
    Abstract: A method of depositing a silicon oxide film on a resist pattern or etched lines formed on a substrate by plasma enhanced atomic layer deposition (PEALD) includes: providing a substrate on which a resist pattern or etched lines are formed in a PEALD reactor; controlling a temperature of a susceptor on which the substrate is placed at less than 50° C. as a deposition temperature; introducing a silicon-containing precursor and an oxygen-supplying reactant to the PEALD reactor and applying RF power therein in a cycle, while the deposition temperature is controlled substantially or nearly at a constant temperature of less than 50° C., thereby depositing a silicon oxide atomic layer on the resist pattern or etched lines; and repeating the cycle multiple times substantially or nearly at the constant temperature to deposit a silicon oxide atomic film on the resist pattern or etched lines.
    Type: Grant
    Filed: April 1, 2009
    Date of Patent: June 12, 2012
    Assignee: ASM Japan K.K.
    Inventors: Takahiro Oka, Akira Shimizu
  • Patent number: 8192807
    Abstract: A method and apparatus for making an optical fiber preform, including injecting a plasma gas source into the first end of a tubular member; generating a ring plasma flame with the plasma gas source flowing through a plasma gas feeder nozzle, the plasma gas feeder nozzle including: an inner tube, an outer tube, wherein the plasma gas source is injected between the inner tube and the outer tube to produce the ring plasma flame, such that at least a portion of the ring plasma flame is directed radially toward the inner surface of the tubular member; traversing the tubular member along the longitudinal axis relative to the plasma flame; depositing at least one soot layer on the interior surface of the tubular member by introducing reagent chemicals into the plasma flame; and fusing all of the soot layers into a glass material on the interior surface of the tubular member.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: June 5, 2012
    Assignee: Silica Tech, LLC
    Inventors: Mikhail I. Guskov, Mohd A. Aslami, Evgueni B. Danilov, Dau Wu
  • Publication number: 20120128896
    Abstract: Stain resistant containers can be prepared in a three step process involving treatment with a nitrogen gas plasma, depositing a plasma enhanced chemical vapor deposition (PECVD) organosilicon thin film onto the interior surface of the container, followed by treatment with an oxygen gas plasma. An apparatus for the process is described, including an automated apparatus for treating multiple containers and multiple chambers of containers.
    Type: Application
    Filed: November 19, 2010
    Publication date: May 24, 2012
    Inventors: Edward B. Tucker, John T. Felts, David K. Heitman
  • Publication number: 20120128897
    Abstract: Described herein are precursors and methods of forming dielectric films. In one aspect, there is provided a silicon precursor having the following formula I: wherein R1 is independently selected from hydrogen, a linear or branched C1 to C6 alkyl, a linear or branched C2 to C6 alkenyl, a linear or branched C2 to C6 alkynyl, a C1 to C6 alkoxy, a C1 to C6 dialkylamino and an electron withdrawing group and n is a number selected from 0, 1, 2, 3, 4, and 5; and R2 is independently selected from hydrogen, a linear or branched C1 to C6 alkyl, a linear or branched C2 to C6 alkenyl, a linear or branched C2 to C6 alkynyl, a C1 to C6 alkoxy, a C1 to C6 dialkylamino, a C6 to C10 aryl, a linear or branched C1 to C6 fluorinated alkyl, and a C4 to C10 cyclic alkyl group.
    Type: Application
    Filed: May 24, 2011
    Publication date: May 24, 2012
    Applicant: AIR PRODUCTS AND CHEMICALS, INC.
    Inventors: Manchao Xiao, Mark Leonard O'Neill, Heather Regina Bowen, Hansong Cheng, Xinjian Lei