Named Electrical Device Patents (Class 430/319)
  • Publication number: 20100124044
    Abstract: A reflector capable of suppressing contrast reduction caused by retro-reflection of outside light, a display device having the same, and a method of manufacturing the same are provided. The reflector includes: a base having first and second opposed surfaces, the second surface being provided with a reflective element; and a light absorbing film formed in a region other than the reflective element in the second surface.
    Type: Application
    Filed: November 19, 2009
    Publication date: May 20, 2010
    Applicant: SONY CORPORATION
    Inventor: Eisaku Kato
  • Publication number: 20100119981
    Abstract: A reflector structure suitable for extreme ultraviolet lithography (EUVL) is provided. The structure comprises a substrate having a multi-layer reflector. A capping layer is formed over the multi-layer reflector to prevent oxidation. In an embodiment, the capping layer is formed of an inert oxide, such as Al2O3, HfO2, ZrO2, Ta2O5, Y2O3-stabilized ZrO2, or the like. The capping layer may be formed by reactive sputtering in an oxygen environment, by non-reactive sputtering wherein the materials are sputtered directly from the respective oxide targets, by non-reactive sputtering of the metallic layer followed by full or partial oxidation (e.g., by natural oxidation, by oxidation in oxygen-containing plasmas, by oxidation in ozone (O3), or the like), by atomic level deposition (e.g., ALCVD), or the like.
    Type: Application
    Filed: January 22, 2010
    Publication date: May 13, 2010
    Inventors: Siegfried Schwarzl, Stefan Wurm
  • Publication number: 20100119982
    Abstract: An etching method according to an embodiment includes forming a resist film on a workpiece film, exposing the resist film, developing the resist film so as to form a resist pattern, selectively irradiating a particular place of the resist pattern with an energy beam so as to generate an acid component in the particular place of the resist pattern, forming a film including a cross-linking agent that causes a cross-linking reaction due to the acid component on the workpiece film so as to cover the particular place of the resist pattern where the acid component is generated, reacting the cross-linking agent with the resist pattern so as to form a cross-linked layer in a part of the resist pattern and processing the workpiece film by using the resist pattern and the cross-linked layer as a mask.
    Type: Application
    Filed: September 14, 2009
    Publication date: May 13, 2010
    Inventor: Koutarou SHO
  • Publication number: 20100119979
    Abstract: The present invention relates to an organic spin coatable antireflective coating composition comprising with (i) at least one unit with fused aromatic rings in the backbone of the polymer of structure (1), (ii) at least one aromatic unit ring in the backbone of the polymer of structure (2) where the aromatic ring has a pendant alkylene(fusedaromatic) group and a pendant hydroxy group, and, (iii) at least one unit with an aliphatic moiety in the backbone of the polymer of structure (3). where, Fr1 is a substituted or unsubstituted fused aromatic ring moiety with 3 or more fused aromatic rings, Fr2 is a fused aromatic ring moiety with 2 or more fused aromatic rings, Ar is a substituted or unsubstituted aromatic ring moiety, R? and R? are independently selected from hydrogen and C1-C4 alkyl, y=1-4, and B is a substituted or unsubstituted aliphatic moiety, and R1 is selected from hydrogen or aromatic moiety. The invention further relates to a process for imaging the present composition.
    Type: Application
    Filed: November 13, 2008
    Publication date: May 13, 2010
    Inventors: M. Dalil Rahman, Douglas McKenzie, Clement Anyadiegwu
  • Publication number: 20100110424
    Abstract: A sensing device for surface-enhanced Raman spectroscopy (SERS) includes a substrate, a plurality of nano structures over the substrate, wherein at least one of the nano structures comprises an active SERS nano surface and an adsorption layer on the active SERS nano surface.
    Type: Application
    Filed: July 14, 2009
    Publication date: May 6, 2010
    Inventors: Hong Wang, Xindi Wu, Xun Guo
  • Publication number: 20100112486
    Abstract: A method and system for providing a PMR pole in a magnetic recording transducer including an intermediate layer are disclosed. The method and system include providing a mask on the intermediate layer. The mask includes a line having at least one side. A hard mask layer is provided on the mask. At least a portion of the hard mask layer resides on the side(s) of the line. At least part of the hard mask layer on the side(s) of the line is removed. Thus, at least a portion of the line is exposed. The line is then removed, providing an aperture in the hard mask corresponding to the line. The method also includes forming a trench in the intermediate layer under the aperture. The trench top is wider than its bottom. The method further includes providing a PMR pole, at least a portion of which resides in the trench.
    Type: Application
    Filed: October 31, 2008
    Publication date: May 6, 2010
    Applicant: WESTERN DIGITAL (FREMONT), LLC
    Inventors: JINQIU ZHANG, HAI SUN, HONGPING YUAN, TSUNG YUAN CHEN, GUANXIONG LI
  • Publication number: 20100112464
    Abstract: According to an aspect of the present invention, there is provided a method for correcting a defect in an EUV mask, the method including: preparing an EUV mask including an absorption layer and an anti-reflection layer forming a pattern; recognizing a defect region in the pattern; defining a first region and a second region on the defect region, the second region extending from a desired pattern edge by a given distance, the first region being defined on the rest; removing the first region of the anti-reflection layer and the absorption layer by irradiating a beam in a first atmosphere; removing the second region of the anti-reflection layer and the absorption layer by irradiating the beam in a second atmosphere; and oxidizing an exposed side surface of the desired pattern edge of the absorption layer.
    Type: Application
    Filed: September 10, 2009
    Publication date: May 6, 2010
    Inventor: Shingo KANAMITSU
  • Publication number: 20100112474
    Abstract: This invention provides a photosensitive composition, which can form a smooth photosensitive layer, has good storage stability, and exhibits high sensitivity when a blue-violet laser exposure system is used, a photosensitive film, a method for forming a permanent pattern using the photosensitive composition, and a printed board with a permanent pattern formed thereon by the method for forming a permanent pattern.
    Type: Application
    Filed: September 12, 2007
    Publication date: May 6, 2010
    Applicant: FUJIFILM Corporation
    Inventors: Masayuki Iwasaki, Hiroshi Kamikawa
  • Publication number: 20100112488
    Abstract: Methods of forming a microlens are disclosed. In one embodiment, a method for forming a microlens of an image sensor includes: coating a photoresist for forming microlenses on a substrate of an image sensor; allowing laser light to be incident on the inside of the photoresist to create a standing wave, the laser light affecting portions of the photoresist positioned in the amplitude range of the laser light; and forming microlenses by curing the photoresist having the laser light affected portions. With the proposed method for forming the microlens, various sizes of microlenses can be formed and fine size of microlenses can be formed by, for example, adjusting the wavelength of the laser light.
    Type: Application
    Filed: October 29, 2009
    Publication date: May 6, 2010
    Inventor: MYUNG SOO KIM
  • Publication number: 20100112489
    Abstract: Pitch multiplied and non-pitch multiplied features of an integrated circuit, e.g., features in the array, interface and periphery areas of the integrated circuit, are formed by processing a substrate through a mask. The mask is formed by patterning a photoresist layer which simultaneously defines mask elements corresponding to features in the array, interface and periphery areas of the integrated circuit. The pattern is transferred to an amorphous carbon layer. Sidewall spacers are formed on the sidewalls of the patterned amorphous carbon layer. A layer of protective material is deposited and then patterned to expose mask elements in the array region and in selected parts of the interface or periphery areas. Amorphous carbon in the array region or other exposed parts is removed, thereby leaving a pattern including free-standing, pitch multiplied spacers in the array region.
    Type: Application
    Filed: January 13, 2010
    Publication date: May 6, 2010
    Applicant: Micron Technology, Inc.
    Inventors: Mark Fischer, Stephen Russell, H. Montgomery Manning
  • Publication number: 20100104984
    Abstract: A template having a first recess pattern is brought into contact with a mask material formed on a substrate. The mask material with which the first recess pattern is filled is cured. A mask material pattern is formed on the substrate by releasing the template from the mask material. A resist pattern is formed to cover a part of the mask material pattern by forming a resist on the mask material pattern and selectively irradiating radiation onto the resist and thereafter developing the resist. The substrate is processed by using the mask material pattern and the resist pattern as a mask.
    Type: Application
    Filed: September 18, 2009
    Publication date: April 29, 2010
    Inventors: Eishi SHIOBARA, Shinichi Ito
  • Patent number: 7704677
    Abstract: A method of patterning a conductive polymer, an organic light emitting device (OLED) manufactured using the method of patterning a conductive polymer, and a method of manufacturing the OLED are provided. The method of patterning a conductive polymer includes forming a conductive polymer layer on a substrate, aligning a shadow mask above the conductive polymer layer, and forming a conductive polymer pattern area and an insulating area in the conductive polymer layer by radiating charged particle beams through the shadow mask.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: April 27, 2010
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Sang-Yeol Kim, In-Nam Kang, Tae-Woo Lee, Bon-Won Koo, Sang-Hoon Park, Yu-Jin Kim, Mu-Gyeom Kim, Jong-Jin Park
  • Publication number: 20100099036
    Abstract: A pattern forming method includes forming a resist film on a substrate, coating the resist film with a coating solution which forms a cover film on the resist film to form the cover film on the resist film, transferring a pattern onto the resist film by an immersion lithography method using a liquid immersion fluid to form a latent image on the resist film, removing the cover film after the formation of the latent image, conducting a first inspection to inspect whether or not the cover film has a defect between said forming the latent image and said removing the cover film, performing predetermined processing when the defect is found in the first inspection, and developing the resist film to form a resist pattern on the substrate after said removing the cover film.
    Type: Application
    Filed: December 16, 2009
    Publication date: April 22, 2010
    Inventors: Daisuke Kawamura, Shinichi Ito
  • Publication number: 20100099046
    Abstract: A method for manufacturing a semiconductor device comprises forming a protective film over a photoresist pattern to improve the residual ratio of the photoresist pattern. The method comprises forming a photoresist pattern over an underlying layer and forming a protective pattern on an upper portion and sidewalls of the photoresist pattern.
    Type: Application
    Filed: June 22, 2009
    Publication date: April 22, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Hyeong Soo Kim, Byoung Hoon Lee, Sa Ro Han Park
  • Patent number: 7700269
    Abstract: A method of forming a stacked structure in an electronic device, where a photoresist for performing multi-patterning processes is used. Also, a method of manufacturing a FED in which different structures can be multi-patterned by using a single photoresist mask. The photoresist has a solubility to a solvent by heat-treatment after exposure, and a complicated structure can be formed using the photoresist.
    Type: Grant
    Filed: May 17, 2006
    Date of Patent: April 20, 2010
    Assignees: Samsung SDI Co., Ltd., E. I. du Pont de Nemours and Company
    Inventors: Shang-Hyeun Park, Hang-Woo Lee, Young-Hwan Kim
  • Publication number: 20100088907
    Abstract: The electronic sight includes a base, an optical window, a point light source, a photomask and a low-reflective layer. The optical window is disposed on a first end of the base. The point light source, disposed on a second end of the base, provides a lightbeam. The photomask is disposed between the point light source and the optical window, and the low-reflective layer is formed thereon. The lightbeam passes through the photomask along a first direction, hits the optical window, and is reflected toward a second direction by the optical window. The method for manufacturing the electronic sight includes: providing a base; providing an optical window disposed on a first end of the base; providing a point light source disposed on a second end of the base; providing a photomask; forming a low-reflective layer on the photomask; and positioning the photomask between the point light source and the optical window.
    Type: Application
    Filed: December 18, 2008
    Publication date: April 15, 2010
    Applicant: ASIA OPTICAL CO., INC.
    Inventors: Chia-Chi Tang, Chen-Yeh Lin
  • Publication number: 20100092874
    Abstract: Problem: There is a demand for a phase shift mask that makes it possible to decrease the film thickness of the phase shift film, can satisfy the requirement relating to pattern accuracy, without collapsing the OPC pattern, and enables control of optical characteristics and pattern defect inspection, and also for a phase shift mask blank as an original plate for such a phase shift mask. Means for Solving the Problems: A phase shift mask blank of the present invention has, on a transparent substrate, a phase shift film including, as main components, a metal, silicon (Si) and nitrogen (N), having optical characteristics of a transmittance of equal to or greater than 9% and equal to or less than 30% with respect to a wavelength of the ArF excimer laser beam and a phase difference of equal to or greater than 150° and less than 180°, and a light-shielding film formed on the phase shift film.
    Type: Application
    Filed: June 23, 2009
    Publication date: April 15, 2010
    Applicant: HOYA CORPORATION
    Inventors: Osamu NOZAWA, Masahiro Hashimoto
  • Publication number: 20100092875
    Abstract: An exposure mask for forming a photodiode of an image sensor and a method of manufacturing an image sensor using the exposure mask may be disclosed. An exposure mask for forming a photodiode of an image sensor includes a plurality of main open patterns, each having a first open pattern that is rectangular and a second open pattern extending outward from at least one corner of the first open pattern, and an open serif extending outward from each of the corners of the second open pattern that do not overlap with the first open pattern, covering a predetermined area adjacent to the second open pattern.
    Type: Application
    Filed: September 18, 2009
    Publication date: April 15, 2010
    Inventor: Woo Jin Cho
  • Publication number: 20100092891
    Abstract: Differently-sized features of an integrated circuit are formed by etching a substrate using a mask which is formed by combining two separately formed patterns. Pitch multiplication is used to form the relatively small features of the first pattern and conventional photolithography used to form the relatively large features of the second pattern. Pitch multiplication is accomplished by patterning a photoresist and then etching that pattern into an amorphous carbon layer. Sidewall spacers are then formed on the sidewalls of the amorphous carbon. The amorphous carbon is removed, leaving behind the sidewall spacers, which define the first mask pattern. A bottom anti-reflective coating (BARC) is then deposited around the spacers to form a planar surface and a photoresist layer is formed over the BARC. The photoresist is next patterned by conventional photolithography to form the second pattern, which is then is transferred to the BARC.
    Type: Application
    Filed: December 11, 2009
    Publication date: April 15, 2010
    Applicant: Micron Technology, Inc.
    Inventors: Luan Tran, William T. Rericha, John Lee, Ramakanth Alapati, Sheron Honarkhah, Shuang Meng, Puneet Sharma, Jingyi Bai, Zhiping Yin, Paul Morgan, Mirzafer K. Abatchev, Gurtej S. Sandhu, D. Mark Durcan
  • Publication number: 20100092890
    Abstract: Alignment tolerances between narrow mask lines, for forming interconnects in the array region of an integrated circuit, and wider mask lines, for forming interconnects in the periphery of the integrated circuit, are increased. The narrow mask lines are formed by pitch multiplication and the wider mask lines are formed by photolithography. The wider mask lines and are aligned so that one side of those lines is flush with or inset from a corresponding side of the narrow lines. Being wider, the opposite sides of the wider mask lines protrude beyond the corresponding opposite sides of the narrow mask lines. The wider mask lines are formed in negative photoresist having a height less than the height of the narrow mask lines. Advantageously, the narrow mask lines can prevent expansion of the mask lines in one direction, thus increasing alignment tolerances in that direction.
    Type: Application
    Filed: December 11, 2009
    Publication date: April 15, 2010
    Applicant: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Randal W. Chance, William T. Rericha
  • Publication number: 20100089633
    Abstract: Disclosed is a method for producing a package. According to said method, a substrate is provided, on a surface of which one or several components are disposed, and a hermetically sealing protective layer is formed on the one or several components and on the surface of the substrate. The hermetically sealing protective layer is impermeable to gas, liquid, and electromagnetic waves, temperature-resistant, electrically insulating, and process-resistant.
    Type: Application
    Filed: July 28, 2006
    Publication date: April 15, 2010
    Inventor: Michael Kaspar
  • Publication number: 20100092873
    Abstract: Some embodiments include methods of forming patterns in which a block copolymer-containing composition is formed over a substrate, and is then patterned to form a first mask. The block copolymer of the composition is subsequently induced into forming a repeating pattern within the first mask. Portions of the repeating pattern are then removed to form a second mask from the first mask. The patterning of the block copolymer-containing composition may utilize photolithography. Alternatively, the substrate may have regions which wet differently relative to one another with respect to the block copolymer-containing composition, and the patterning of the first mask may utilize such differences in wetting in forming the first mask.
    Type: Application
    Filed: October 9, 2008
    Publication date: April 15, 2010
    Inventors: Scott Sills, Dan Millward
  • Publication number: 20100086876
    Abstract: A photomask includes a light transmitting substrate and an absorber layer adjacent thereto. The absorber layer includes a silicide, such as molybdenum silicide, patterned into a plurality of features. The surrounding environment is controlled to prevent undesirable growth by oxidation of the absorber layer when the mask is exposed to light while being used to fabricate integrated circuits. In another aspect, the surrounding environment is controlled to encourage desirable growth by oxidation of the absorber layer when the mask is exposed to light.
    Type: Application
    Filed: October 3, 2008
    Publication date: April 8, 2010
    Applicant: International Business Machines Corporation
    Inventors: Peter H. Bartlau, Thomas B. Faure, Alfred Wagner
  • Publication number: 20100084263
    Abstract: Methods for fabricating thin film magnetic head coil structures are disclosed. The methods disclose deposition of a first thick seed layer, followed by deposition of an ultra-thin second seed layer. Coil structures having sub-micron pitch and high aspect ratios are deposited on the second ultra-thin seed layer, which is removed from between the coil windings via an isotropic etch process such as wet etching or RIE. Subsequent to selective removal of the ultra-thin second seed layer, the first thick seed layer is utilized to deposit pole and backgap structures, eliminating the need to deposit (and remove) a subsequent seed layer on the coil structure.
    Type: Application
    Filed: October 2, 2008
    Publication date: April 8, 2010
    Inventors: Christian Rene Bonhote, Quang Le, Ihavin Sinha
  • Publication number: 20100081093
    Abstract: A mask pattern includes a first pattern having a line-and-space pattern extending in a first direction, a second pattern formed as a line-and-space pattern having a larger period than the first pattern and extending in the first direction, a third pattern having a line-and-space pattern extending in a second direction, and a fourth pattern formed as a line-and-space pattern having a larger period than the third pattern and extending in the second direction. Illumination light is obliquely incident on the first pattern and the second pattern from a first oblique direction, illumination light is obliquely incident on the third pattern and the fourth pattern from a second oblique direction, and a relative distance from the first pattern to the second pattern transferred on to an image receptor and a relative distance from the third pattern to the fourth pattern transferred onto the image receptor are measured and an optical characteristic of an exposure apparatus is ascertained based on the relative distances.
    Type: Application
    Filed: September 4, 2009
    Publication date: April 1, 2010
    Inventors: Kentaro Kasa, Takashi Sato, Kazuya Fukuhara
  • Publication number: 20100081067
    Abstract: A substrate set is a mask blank substrate set including a plurality of substrates each for use in a mask blank for producing a photomask to be chucked on a mask stage of an exposure apparatus. In each of the substrates in the mask blank substrate set, a main surface, on the side where a thin film for forming a transfer pattern is to be formed, has a convex shape being relatively high at its center and relatively low at its peripheral portion. In each substrate, the flatness in a 142 mm square area, including a central portion, of the main surface is 0.3 ?m or less and the difference upon fitting to a reference main surface of a reference substrate is 40 nm or less.
    Type: Application
    Filed: September 30, 2009
    Publication date: April 1, 2010
    Applicant: HOYA CORPORATION
    Inventor: Masaru TANABE
  • Publication number: 20100081069
    Abstract: An object of this invention is to properly identify or manage mask blank substrates, mask blanks, and so on. A manufacturing method of a mask blank substrate (10) includes a substrate preparing step of preparing a plate-like substrate with a square main surface (102), and a marker forming step of forming a marker (106a to 106d) for identifying or managing the substrate on each of at least a plurality of end faces among four end faces (104a to 104d) of the substrate. The four end faces are continuous with sides of the main surface, respectively.
    Type: Application
    Filed: December 8, 2009
    Publication date: April 1, 2010
    Applicant: HOYA CORPORATION
    Inventor: Yasushi OKUBO
  • Publication number: 20100080647
    Abstract: Provided is a technique capable of improving the dimensional accuracy of a transfer pattern in a lithography technique in which EUV light is used and the EUV light is incident obliquely on a mask and an image of the EUV light reflected from the mask is formed on a semiconductor substrate (resist film), thereby transferring the pattern formed on the mask onto the semiconductor substrate. The present invention is based on a lithography technique in which EUV light is used and an exposure optical system in which the EUV light is obliquely incident on a mask is used. In this lithography technique, an absorber and a difference in level are formed on the mask, and a projective component projected on a mask surface out of a direction cosine component of the incident light is set to be almost orthogonal to an extending direction of the difference in level.
    Type: Application
    Filed: September 21, 2009
    Publication date: April 1, 2010
    Inventors: Tsuneo TERASAWA, Takeshi Yamane
  • Publication number: 20100075253
    Abstract: There is provided a resist underlayer film forming composition that is used in a lithography process for the production of semiconductor devices and that can be developed with an alkaline developer for photoresists, and a method of forming a photoresist pattern by using the resist underlayer film forming composition. The resist underlayer film forming composition used in a lithography process for a production of a semiconductor device comprising: an alkali-soluble resin (a); a polynuclear phenol (b); a compound (c) having at least two vinylether groups; and a photoacid generator (d). The alkali-soluble resin (a) may be a polymer containing a unit structure having a carboxyl group, and the polynuclear phenol (b) may be a compound having 2 to 30 phenolic hydroxyl groups in the molecule.
    Type: Application
    Filed: December 11, 2007
    Publication date: March 25, 2010
    Applicant: NISSAN CHEMICAL INDUSTRIES , LTD.
    Inventors: Masakazu Kato, Takahiro Hamada, Tomoyuki Enomoto
  • Publication number: 20100075235
    Abstract: A writing pattern producing method includes obtaining a width of an overlapping portion of first and second patterns, determining whether the width of the overlapping portion is greater than a predetermined width, producing a writing pattern according to a first method when the width of the overlapping portion is determined to be greater than the predetermined width, producing a writing pattern according to a second method when the width of the overlapping portion is determined to be smaller than the predetermined width, the first method being to produce the writing pattern by dividing a composite pattern of the first and second patterns into a plurality of graphic forms which have widths not smaller than the predetermined width and do not overlap with one another, and the second method being to produce the writing pattern from the first and second patterns so that the overlapping portion is written repeatedly.
    Type: Application
    Filed: September 18, 2009
    Publication date: March 25, 2010
    Inventor: Tomohiro Tsutsui
  • Patent number: 7682778
    Abstract: Provided are contact photomasks and methods using such photomasks for fabricating semiconductor devices and forming contact plugs on portions of active regions exposed between gate lines. The elongated active regions are arrayed in a series of parallel groups with each group being, in turn, aligned along their longitudinal axes to form an acute angle with the gate lines. The contact photomask includes a plurality of openings arranged in parallel lines that are aligned at an angle offset from previously formed gate lines and which may be parallel to the active regions or may be aligned at an angle offset from the axes of both the groups of active regions and the gate lines. Processes for forming contact plugs using such photomasks may provide increased processing margin and extend the utility of conventional exposure equipment for semiconductor devices exhibiting increased integration density and/or built to more demanding design rules.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: March 23, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Woo Seo, Tae-Hyuk Ahn, Jong-Seo Hong
  • Publication number: 20100066388
    Abstract: A soot sensor has a soot-sensitive noble-metal structure formed as strip conductor sections on an electrically insulating carrier, whose strip conductor sections are between 5 and 100 ?m wide and are spaced apart from each other between 5 and 100 ?m. The electrically insulating carrier may be a single crystal and the noble metal crystallized out on a surface of the single crystal, or the electrically insulating carrier may be polycrystalline and the noble metal crystallized out on the polycrystalline, electrically insulating carrier.
    Type: Application
    Filed: September 14, 2009
    Publication date: March 18, 2010
    Applicant: HERAEUS SENSOR TECHNOLOGY GMBH
    Inventors: Karlheinz WIENAND, Karl-Heinz ULLRICH
  • Publication number: 20100068631
    Abstract: A photomask includes an ion trapping layer and a method of manufacturing a semiconductor device uses the photomask. The photomask includes a transparent substrate and an ion trapping layer formed on a first region of the transparent substrate to trap ions present near the transparent substrate. In manufacturing a semiconductor device, a photosensitive film formed on a substrate is exposed through the photomask in which the ion trapping layer is formed on the transparent substrate, and the substrate is processed using the photosensitive film obtained as the result of the exposure.
    Type: Application
    Filed: June 17, 2009
    Publication date: March 18, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Han-shin Lee, Jae-hyuck Choi, Hae-young Jeong, Hyung-ho Ko, Jin-sik Jung, Jong-keun Oh, Soo-jung Kang
  • Patent number: 7674572
    Abstract: An exposure method for an LCD is provided. In the method, a sub-pixel region of an array substrate is divided into a first exposure region and a second exposure region, and the first exposure region and the second exposure region are sequentially exposed.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: March 9, 2010
    Assignee: LG. Display Co., Ltd.
    Inventors: Kwang Hoon Shin, Hyun Suk Jin, Ho Jin Ryu, Won Seok Kang, Deuk Su Lee
  • Publication number: 20100055619
    Abstract: A method for manufacturing a surface, the surface having a multiplicity of slightly different patterns, is disclosed with the method comprising the steps of designing a stencil mask having a set of characters for forming the patterns on the surface and reducing shot count or total write time by use of a character varying technique. A system for manufacturing a surface is also disclosed.
    Type: Application
    Filed: November 12, 2008
    Publication date: March 4, 2010
    Applicant: D2S, INC.
    Inventors: Akira Fujimura, Lance Glasser, Takashi Mitsuhashi, Kazuyuki Hagiwara
  • Publication number: 20100051091
    Abstract: Systems and methods of forming an electrode on a substrate are disclosed. The methods can include applying a solution including metal ions and metal nanomaterials to a surface of a substrate. The methods further can include exposing a selected portion of the solution with light having a wavelength capable of inducing reduction of the metal ions, wherein the selected portion corresponds to at least a portion of the electrode.
    Type: Application
    Filed: August 29, 2008
    Publication date: March 4, 2010
    Inventor: Kwangyeol Lee
  • Publication number: 20100055605
    Abstract: A printed circuit board and a method of manufacturing the printed circuit board are disclosed. The method of manufacturing the printed circuit board in accordance with an embodiment of the present invention can include: forming an opaque conductive pattern on one side of a transparent insulation layer; forming a photosensitive insulation layer on the transparent insulation layer such that the conductive pattern is covered; hardening the photosensitive insulation layer excluding an area covering the conductive pattern by irradiating light on the other side of the transparent insulation layer; and forming an opening on the photosensitive insulation layer by removing the area of the photosensitive insulation layer covering the conductive pattern such that the conductive pattern is exposed.
    Type: Application
    Filed: May 4, 2009
    Publication date: March 4, 2010
    Inventors: Shang-Hoon SEO, Jae-Woo Joung, Kyoung-Jin Jeong, Kwan-Soo Yun
  • Publication number: 20100047698
    Abstract: A hybrid mask set for exposing a plurality of layers on a semiconductor substrate to create an integrated circuit device is disclosed. The hybrid mask set includes a first group of one or more multi-layer masks (MLMs) for a first subset of the plurality of layers. Each MLM includes a plurality of different images for different layers, the images being separated by a relatively wide image spacer. The hybrid mask set also includes a first group of one or more production-ready masks for a second subset of the plurality of layers. Each production-ready mask includes a plurality of similar images for a common layer, each image being separated by a relatively narrow scribe street.
    Type: Application
    Filed: October 13, 2008
    Publication date: February 25, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Feng-Lung Lin, Kuan-Liang Wu, Che-Rong Liang, Fei-Gwo Tsai
  • Publication number: 20100040983
    Abstract: A method of manufacturing integrated circuits includes determining a process-induced displacement (e.g., a stress-induced displacement) between primary structures on a substrate and providing a photomask with mask features assigned to the primary structures. The distances between the mask features are set such that the process-induced displacement is compensated.
    Type: Application
    Filed: August 14, 2008
    Publication date: February 18, 2010
    Applicant: Qimonda AG
    Inventors: Heinrich Ollendorf, Thomas Zell, Michael Kubis, Steffen Schmidt, Elke Hietschold
  • Publication number: 20100040984
    Abstract: A method of manufacturing a micro electro-mechanical component having a three-dimensional structure includes preparing a conductive substrate, selectively insulating or removing the conductive substrate to form a functional structure for performing a desired electro-mechanical function, forming a plated structure serving as an electrical connection portion on at least one surface of the functional structure, and mounting the functional structure on a circuit substrate so that the electrical connection portion is connected to a circuit pattern of the circuit substrate.
    Type: Application
    Filed: January 8, 2009
    Publication date: February 18, 2010
    Inventors: Young Ho Cho, Yoon Ji Kim, Ho Joon Park, Young Soo Oh, Hee Ju Son, Byeung Gyu Chang, Sang Jin Kim
  • Publication number: 20100040965
    Abstract: Mask and integrated circuit fabrication approaches are described to facilitate use of so called “full phase” masks. This facilitates use of masks where substantially all of a layout is defined using phase shifting. In one embodiment, the phase shifting mask and the trim mask are exposed using substantially the same exposure conditions. These approaches facilitate better exposure profiles for the resulting ICs and can thus improve chip yield and increase throughput by reducing the need to alter settings and/or switch reticles between exposures.
    Type: Application
    Filed: October 16, 2009
    Publication date: February 18, 2010
    Applicant: Synopsys, Inc.
    Inventors: Christophe Pierrat, Michel Luc Cote
  • Publication number: 20100035164
    Abstract: In a mask blank substrate to be chucked by a mask stage of an exposure system, the flatness of a rectangular flatness measurement area excluding an area of 2 mm inward from an outer peripheral end surface on a main surface of the mask blank substrate on its side to be chucked by the mask stage is 0.6 ?m or less, and at least three of four corner portions of the flatness measurement area each have a shape that rises toward the outer peripheral side.
    Type: Application
    Filed: August 13, 2009
    Publication date: February 11, 2010
    Inventors: Masaru TANABE, Atsushi Kawaguchi, Hiroyuki Akagawa, Akihiro Kawahara
  • Publication number: 20100035163
    Abstract: Embodiments of the invention relate to methods useful in the fabrication of nanostructured devices for optics, energy generation, displays, consumer electronics, life sciences and medicine, construction and decoration. Instead of nanostructuring using colloids of particles, special vacuum deposition methods, laser interference systems (holography), and other low-throughput limited surface area techniques, we suggest to use nanotemplate created by novel nanolithography method, “Rolling mask” lithography. This method allows fast and inexpensive fabrication of nanostructures on large areas of substrate materials in conveyor-type continuous process. Such nanotemplate is then used for selective deposition of functional materials. One of embodiments explains deposition of functional materials in the exposed and developed areas of the substrate. Another embodiment uses selective deposition of the functional material on top of such template.
    Type: Application
    Filed: August 6, 2009
    Publication date: February 11, 2010
    Applicant: ROLITH, INC.
    Inventor: Boris Kobrin
  • Publication number: 20100035166
    Abstract: To provide a photosensitive composition with which partition walls (black matrix) having high sensitivity to light and being excellent in liquid repellency, and pixels excellent in the uniformity in the ink layer thickness, can be formed. A photosensitive composition, which comprises a fluoropolymer (A) having a side chain containing a group such as —(CF2)6F and a side chain containing an ethylenic double bond in one molecule, an alkali soluble photosensitive resin (B), a photopolymerization initiator (C), a black pigment (D), a polymer dispersing agent (E) having basic functional groups, and fine particles (F) other than the black pigment (D).
    Type: Application
    Filed: October 14, 2009
    Publication date: February 11, 2010
    Applicant: Asahi Glass Company, Limited
    Inventors: Kenji Ishizeki, Kazushi Kobayashi, Hideyuki Takahashi
  • Publication number: 20100035028
    Abstract: A mask blank substrate for a photomask is chucked on a mask stage of an exposure apparatus. A main surface, on the side where a thin film for a transfer pattern is to be formed, of the mask blank substrate has a flatness of 0.3 ?m or less in a 142 mm square area including its central portion and has a convex shape being relatively high at its central portion and relatively low at its peripheral portion.
    Type: Application
    Filed: August 6, 2009
    Publication date: February 11, 2010
    Applicant: HOYA CORPORATION
    Inventor: Masaru TANABE
  • Publication number: 20100035188
    Abstract: A manufacturing method of an organic electro-luminescence device is disclosed. The manufacturing method of an organic electro-luminescence device includes sequential steps of: providing a substrate first; forming a first electrode layer on the substrate; forming an insulating layer on the substrate, wherein the insulating layer includes a plurality of openings for exposing the first layer; forming a conducting layer on the sidewall of the insulating layer and on the first electrode layer in the openings; forming a light-emitting layer on the conducting layer in the openings; and finally forming a second electrode layer on the light-emitting layer. The organic electro-luminescence device formed by the above manufacturing method is capable of providing the light-emitting layer with a uniform thickness and therefore raising of yield of the fabricating process and improving of the displaying quality of the organic electro-luminescence device.
    Type: Application
    Filed: October 15, 2009
    Publication date: February 11, 2010
    Applicant: CHUNGHWA PICTURE TUBES, LTD.
    Inventors: Pei-Yu Liu, Huai-An Li, Yu-Cheng Lo, Jiun-Ming Wang
  • Publication number: 20100028811
    Abstract: A method of making a component for use in a touch sensor includes modifying a substrate having disposed on it a plurality of electrically isolated conductors. Subsets of the conductors are electrically coupled to form composite electrodes. The component can be used as a set of electrodes in a customized touch sensor.
    Type: Application
    Filed: July 29, 2009
    Publication date: February 4, 2010
    Inventor: Bernard O. Geaghan
  • Publication number: 20100028787
    Abstract: A substrate that is suitable for an EUV mask or an EUV mask blank and excellent in flatness, is provided. A substrate for an EUV mask blank, which is made of a silica glass containing from 1 to 12 mass % of TiO2, wherein the surface roughness (rms) in a surface quality area of the substrate is at most 2 nm, and the maximum variation (PV) of the stress in the surface quality area of the substrate is at most 0.2 MPa.
    Type: Application
    Filed: June 24, 2009
    Publication date: February 4, 2010
    Applicant: ASAHI GLASS COMPANY, LIMITED
    Inventors: Akio KOIKE, Ken EBIHARA
  • Publication number: 20100028789
    Abstract: A method of controlling exposure device according to an embodiment includes preparing a photomask in which a check pattern is formed, wherein the check pattern comprising a plurality of patterns which have a first diameter and a second diameter and have pattern dimensions being changeable after being transferred according to polarization degree of exposure light are arranged in the second diameter direction, irradiating the photomask with the exposure light having a predetermined polarization degree so as to transfer the check pattern to a transferred object, and measuring the dimensions of the images of the check pattern transferred to the transferred object so as to obtain the polarization degree.
    Type: Application
    Filed: July 31, 2009
    Publication date: February 4, 2010
    Inventors: Satoshi NAGAI, Kazuya Fukuhara
  • Patent number: 7653990
    Abstract: A manufacturing method of printed circuit board print is disclosed. A method of manufacturing a PCB which includes providing an imprinting stamper with a relievo pattern formed in correspondence with a circuit pattern data using the circuit pattern data, forming an intaglio pattern corresponding to the relievo pattern by pressing the imprinting stamper on an insulation layer, and forming a circuit pattern by printing conductive ink in the intaglio pattern by an ink-jet method using the circuit pattern data, allows the forming of a circuit pattern to a required thickness by injecting conductive ink in a groove processed by the imprint method, and prevents the spreading of ink and the distortion of the pattern shape in the curing process for conductive ink containing metal Also, the circuit pattern CAD data used in the manufacturing of an imprinting stamper can be utilized again in the ink-jet printing process.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: February 2, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Choon-Keun Lee, Myeong-Ho Hong, Senug-Hyun Ra