Named Electrical Device Patents (Class 430/319)
  • Publication number: 20100309151
    Abstract: A touch panel and methods for manufacturing the same is provided. The method for manufacturing a touch panel includes providing a substrate and forming a photospacer layer on the substrate. Subsequently, a single lithography process is performed to the photospacer layer to define a main spacer and a sensor spacer. After forming a conductive layer on the main spacers and sensor spacers, a part of the conductive layer is removed to expose a top part and a part of a upper side of the main spacer. Accordingly, the conductive layer on the top part of the main spacer can be completely removed. In addition, the aperture ratio loss due to over-etching the conductive layer on the color filter can be prevented by the conductive layer remained on a lower side of the main spacer.
    Type: Application
    Filed: December 3, 2009
    Publication date: December 9, 2010
    Applicant: AU OPTRONICS CORP.
    Inventors: Tsung-Chin Cheng, Zeng-De Chen, Seok-Lyul Lee
  • Publication number: 20100307796
    Abstract: The present disclosure relates to a method for the selective adsorption of a noble metal catalyst onto a surface of a polymer. More particularly, the method of the present invention includes a first step of masking with a photo mask, the surface of a polymer adsorbed with a photosensitive metal ion, and radiating light onto the surface of the polymer such that the photosensitive metal ion on the unmasked surface is oxidized, and a second step of permitting the photosensitive metal ion which is not oxidized in the first step to react to a noble metal catalyst such that the noble metal catalyst is adsorbed onto the surface of the polymer.
    Type: Application
    Filed: July 28, 2010
    Publication date: December 9, 2010
    Applicant: KOREA INSTITUTE OF INDUSTRIAL TECHNOLOGY
    Inventor: Hong Kee LEE
  • Publication number: 20100304307
    Abstract: While one ends of ropes are respectively tied to a ?Y side leg section and a +Y side leg section of a frame caster, the other ends of the ropes hang down in a ?Z direction via a plurality of pulleys fixed to the upper sections of frames that are each separated from an apparatus main body, and a weight having a weight corresponding to a half the weight to be reduced is tied to each of the other ends. In this case, even if the apparatus main body oscillates in vertical or lateral directions (normal directions of the pulleys) owing to the action of a vibration isolation table, the position of the weight only vertically moves by rotation of the pulleys, and the reduced amount of the apparatus weight does not vary. Further, since any coil springs are not used, sympathetic vibration with the apparatus main body and the vibration isolation table does not occur, which allows the attenuation characteristics of the vibration isolation table to be improved.
    Type: Application
    Filed: May 17, 2010
    Publication date: December 2, 2010
    Applicant: NIKON CORPORATION
    Inventor: Yasuo AOKI
  • Publication number: 20100304306
    Abstract: The present invention relates to a method for manufacturing micro/nanofluidic devices that incorporate overhanging micromechanical and metal components monolithically integrated with the fluidic circuitry.
    Type: Application
    Filed: May 22, 2007
    Publication date: December 2, 2010
    Applicant: Ikerlan Centro De Investigaciones
    Inventors: Fco. Javier Blanco Barro, María Aguirregabiria Izaguirre, Aitor Ezkerra Fernández, Janette Lillia Schulze, Jesús Miguel Ruano López, Mayora Oria
  • Publication number: 20100301457
    Abstract: Lithography masks, lithography systems, methods of manufacturing lithography masks, methods of altering material layers of semiconductor devices, and methods of manufacturing semiconductor devices are disclosed. In one embodiment, a lithography mask includes a first pattern for at least one material layer of at least one die, the first pattern being oriented in a first position. The lithography mask includes a second pattern for at least one material layer of the at least one die, the second pattern being oriented in a second position. The second position is different than the first position.
    Type: Application
    Filed: May 26, 2009
    Publication date: December 2, 2010
    Inventor: Uwe Paul Schroeder
  • Publication number: 20100304281
    Abstract: An exposure mask used to transfer a pattern defined by exposure onto a wafer, includes: a substrate; a pattern formation region provided on the substrate, and having pattern elements formed therein, the pattern elements having a size not smaller than a resolution limit after being transferred onto the wafer; and a sub-pattern formation region provided on the substrate and having sub-pattern elements formed therein. The sub-pattern element has a size smaller than the resolution limit after being transferred onto the wafer, and the sub-pattern formation region is spaced from the pattern formation region by a distance having no optical proximity effect on the pattern.
    Type: Application
    Filed: March 19, 2010
    Publication date: December 2, 2010
    Inventor: Tomotaka HIGAKI
  • Publication number: 20100304298
    Abstract: A negative photosensitive material is provided which has a lower linear expansion coefficient and a lower hygroscopic expansion coefficient and is excellent in gradational patternability and PI etchability in patterning.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 2, 2010
    Applicant: NITTO DENKO CORPORATION
    Inventors: Katsutoshi Hirashima, Hirofumi Fujii, Yasushi Tamura, Ryouji Suezaki
  • Publication number: 20100304279
    Abstract: A phase shift mask having a plurality of mask patterns or mask data thereof is prepared, and an overlapped focus range in each of the mask patterns in a case where a result of exposure to each of the mask patterns, obtained by an exposure experiment or a lithography simulation, meets a desired dimension is obtained. A digging depth is determined at discretion based on the obtained overlapped focus range.
    Type: Application
    Filed: February 19, 2010
    Publication date: December 2, 2010
    Inventors: Akiko MIMOTOGI, Satoshi Tanaka, Masanori Takahashi, Yoko Takekawa, Takamasa Takaki, Katsuyoshi Kodera, Hideichi Kawaguchi
  • Publication number: 20100297541
    Abstract: Compounds of the formula (I), wherein X is a single bond, CRaRbO, S, NRc or NCORc; Z is formula (II) or C3-C20heteroaryl; L, L1, L2, L3, L4, L5, L6, L7 and L8 for example independently of one another are hydrogen or an organic substituent; Ra, Rb and Rc independently of one another are hydrogen or an organic substituent; R is for example is C5-C20heteroaryl or C6-C14 aryl; and Y is an inorganic or organic anion; are suitable as photolatent acid generators.
    Type: Application
    Filed: September 29, 2008
    Publication date: November 25, 2010
    Applicant: BASF SE
    Inventors: Pascal Hayoz, Hitoshi Yamato, Toshikage Asakura
  • Publication number: 20100297540
    Abstract: Compounds of the Formula (I), wherein L1, L?1, L?1, L2, L?2, L?2, L3, L?3, L?3, L4, L?4 and L?4 for example are hydrogen or COT; R, R? and R? for example are hydrogen, C6-C12aryl or C3-C20heteroaryl; X, X? and X? for example are O, S, single bond, NRa or NCORa, T is for example hydrogen, C1-C20alkyl, C3-C12cycloalkyl, C2-C20alkenyl, C5-C12cycloalkenyl, C7-C18cycloalkylenaryl, C5-C18cycloalkylenheteroaryl, C6-C14aryl, providedthat at least one of R, R? or R? is unsubstituted or substituted C3-C20heteroaryl; and Y is an inorganic or organic anion; are suitable as photolatent acid generators.
    Type: Application
    Filed: September 22, 2008
    Publication date: November 25, 2010
    Applicant: BASF SE
    Inventors: Pascal Hayoz, Hitoshi Yamato, Toshikage Asakura
  • Publication number: 20100297551
    Abstract: Disclosed is a process for producing a photoresist polymeric compound. The process includes the steps of polymerizing a monomer mixture containing at least one monomer selected from a monomer (a) containing a group capable of leaving with an acid to allow the polymeric compound to be soluble in an alkali, a monomer (b) having a lactone skeleton, and a monomer (c) having a hydroxyl-containing alicyclic skeleton, to give a polymer; passing a solution containing the polymer through a filter including a porous membrane having an anion-exchange group to give a polymer solution; and thereafter passing the polymer solution through a filter including a porous membrane having a cation-exchange group. The polymer solution before passing through the filter including a porous membrane having a cation-exchange group preferably has a content of metals of 1000 ppb by weight or less per the weight of the polymer.
    Type: Application
    Filed: May 18, 2010
    Publication date: November 25, 2010
    Inventor: Tadashi TERANISHI
  • Publication number: 20100297555
    Abstract: Disclosed is a monomer having an electron-withdrawing substituent and a lactone skeleton, represented by following Formula (1), wherein Ra represents, e.g., a hydrogen atom or an alkyl group having 1 to 6 carbon atoms; R1 represents, e.g., a halogen atom or an alkyl or haloalkyl group having 1 to 6 carbon atoms; “A” represents an alkylene group having 1 to 6 carbon atoms, oxygen atom, sulfur atom, or nonbonding; “m” denotes an integer of 0 to 8; Xs each represent an electron-withdrawing substituent; “n” denotes an integer of 1 to 9; and Y represents a bivalent organic group having 1 to 6 carbon atoms. The monomer is useful typically as a monomer component typically for a highly functional polymer, because, when the monomer is applied typically to a resist resin, the resin is satisfactory stable and resistant typically to chemicals, is highly soluble in organic solvents, and has improved hydrolyzability and/or solubility in water after hydrolysis.
    Type: Application
    Filed: February 3, 2009
    Publication date: November 25, 2010
    Inventors: Hiroshi Koyama, Kyuhei Kitao, Akira Eguchi
  • Publication number: 20100297542
    Abstract: Compounds of the formula (I), wherein X is a single bond, CRaRb O, S, NRC, NCORC, CO, SO or SO2; L, L1, L2, L3, L4, L5, L6, L7 and L8 are for example hydrogen, R1 or COT; T denotes T1 or O-T2; T1 and T2 for example are hydrogen, C1-C20alkyl, C3-C12cycloalkyl, C2-C20alkenyl, C5-C12cycloalkenyl, C6-C14aryl, C3-C12heteroaryl, C1-C20alkyl substituted by one or more D, C2-C20alkyl interrupted by one or more E, C2-C20alkyl substituted by one or more D and interrupted by one or more E or Q; R1, R2, R3, R4, Ra, Rb and Rc are T1; D is for example R5, OR5, SR5 or Q1; E is for example O, S, COO or Q2; R5 and R6 for example are hydrogen, C1-C12alkyl or phenyl; Q is for example C6-C12bicycloalkyl, C6-C12bicycloalkenyl or C6-C12tricycloalkyl; Q1 is for example, C6-C14aryl or C3-C12heteroaryl; Q2 is for example C6-C14arylene or C3-C12heteroarylene; Y is an anion; and M is a cation; provided that at least one of L, L1, L2, L3, L4, L5, L6, L7 and L8 is other than hydrogen; and provided that (i) at least one of T1 or T2 is a g
    Type: Application
    Filed: September 29, 2008
    Publication date: November 25, 2010
    Applicant: BASF SE
    Inventors: Pascal Hayoz, Hitoshi Yamato
  • Patent number: 7838203
    Abstract: A system and method are disclosed for increasing retention reliability of a floating gate of a CMOS compatible memory cell. A mask structure is formed with a plurality of apertures near the edges of the mask structure. The size of the apertures is less than a resolution limitation of a photo exposure system. The mask structure is placed over a resist material and the resist material is exposed to light through the apertures of the mask structure. Zero order diffraction light passes though the apertures and imparts energy to the exposed portions of the resist material. A develop process is then used to remove portions of the resist material to form a sloped edge resist pattern. A sloped edge floating gate that is formed from the pattern facilitates the deposition of a thicker oxide layer at the sloped edge of the floating gate and reduces backend leakage current.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: November 23, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Jiankang Bu, Kenneth M. Lewis, Li-Heng Chou
  • Publication number: 20100290101
    Abstract: There are provided a light screening apparatus and a manufacturing method thereof. The light screening apparatus includes a substrate, a transparent electrode, a plurality of roll-up actuators and a plurality of light screening patterns. The substrate includes a light-transmitting region and the transparent electrode is formed on one surface of the substrate. Each roll-up actuator, which has opaque characteristics, is fixed on the circumference portion of the light-transmitting region and includes a fixing end and a moving part which extends from the fixing end. Gaps are formed between adjacent roll-up actuators, and the light screening patterns are formed on the substrate at locations corresponding to the gaps. The light-screening patterns prevent light incident through the gaps from being transmitted to the light-transmitting region.
    Type: Application
    Filed: January 22, 2010
    Publication date: November 18, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Che-heung KIM, Seog-woo HONG
  • Publication number: 20100291476
    Abstract: A multiple mask and a multiple masking layer technique can be used to pattern an IC layer. A RET can be used to define one or more fine-line patterns in a first masking layer. Portions of the fine-line features are then removed or designated for removal using a mask. This removal/designation can include accessing a desired layout (with at least one layout feature including a fine-line feature and a coarse feature) and expanding layout features only in directions along critical dimensions of those layout features. Another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. Coarse feature(s) can be derived from the desired layout using a shrink/grow operation performed only in directions orthogonal to a critical dimension of the fine-line features. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers.
    Type: Application
    Filed: May 13, 2009
    Publication date: November 18, 2010
    Applicant: Synopsys, Inc.
    Inventor: Tsu-Jae King Liu
  • Publication number: 20100285409
    Abstract: A reverse pattern is formed once by combining a negative exposure mask having a wiring pattern with a positive resist, and then a positive wiring pattern is formed by use of the reverse pattern. That is, a positive resist applied on a semiconductor substrate is exposed by use of the exposure mask having an opening part in a region corresponding to the wiring pattern, and then the exposed part is removed by development to form a resist pattern, thereby forming the wiring pattern in the region corresponding to the opening part of the resist pattern. Consequently, it is hardly affected by flare during EUV exposure, thereby fabricating a fine wiring pattern with higher exposure latitude.
    Type: Application
    Filed: December 9, 2008
    Publication date: November 11, 2010
    Inventor: Toshihiko Tanaka
  • Publication number: 20100285411
    Abstract: Micro-fluid ejection devices, methods for making a micro-fluid ejection device, and methods for reducing a size of a substrate for a micro-fluid ejection head. One such micro-fluid ejection device has a polymeric layer adjacent a substrate and at least one conductive layer embedded in the polymeric layer. The polymeric layer comprises at least two layers of polymeric material.
    Type: Application
    Filed: July 23, 2010
    Publication date: November 11, 2010
    Inventors: Frank E. Anderson, Yimin Guan, Carl Edmond Sullivan, Timothy Lowell Strunk
  • Publication number: 20100285410
    Abstract: The present disclosure provides a method for manufacturing a semiconductor device. The method includes coating a photoresist on a substrate. The photoresist is exposed to radiation. The radiation exposed photoresist is baked. The radiation exposed and baked photoresist is developed to create an image pattern. The image pattern is treated with a treating material. An ion implantation process is performed to the substrate and the treated image pattern. The image pattern is stripped from the substrate. A carbon atom ratio of the treating material is less than a carbon atom ratio of the photoresist.
    Type: Application
    Filed: May 11, 2009
    Publication date: November 11, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Patent number: 7829264
    Abstract: A method and system for providing a microelectric device are described. The method and system include providing a photoresist layer having a surface. The method and system also include setting a focus range and exposing the photoresist layer over the focus range to form a photoresist mask having a trench therein. The focus range corresponding to a plurality of focus distances. The focus range also corresponds to a nonzero angle to be formed in the photoresist layer and to the structure. The trench has at least one sidewall that forms the angle with a normal to the surface. The method and system also include providing the structure utilizing the trench.
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: November 9, 2010
    Assignee: Western Digital (Fremont), LLC
    Inventors: Yizhong Wang, Hai Sun, Hongping Yuan, Winnie Yu
  • Patent number: 7829256
    Abstract: A photocuring composition includes black particles (A), black particles (B), an organic binder (C), a photopolymerizable monomer (D), and a photopolymerization initiator (E). The black particles (B) have a volume resistivity lower than the volume resistivity of the black particles (A) and an average diameter larger than the average diameter of the black particles (A).
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: November 9, 2010
    Assignee: Taiyo Ink Mfg. Co., Ltd.
    Inventor: Kazunobu Fukushima
  • Patent number: 7829248
    Abstract: The present disclosure provides a mask-pellicle system for lithography patterning. The mask-pellicle system includes a mask substrate; a predefined pattern formed on the transparent pattern; a pellicle configured approximate the transparent substrate; a pellicle frame designed to secure the pellicle; and a stress-absorbing feature configured between the pellicle frame and the mask substrate, to reduce stress of the mask substrate.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: November 9, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Burn Jeng Lin, Hsin-Chang Lee, Ming-Jiun Yao
  • Patent number: 7829265
    Abstract: A board interconnection structure having a first printed wiring board in which a first conductive circuit is arranged on a first insulating layer, the first conductive circuit having, on an end portion thereof, a first connection terminal in which an upper surface width is narrower than a bottom surface width; a second printed wiring board in which a second conductive layer having a second connection terminal is arranged on a second insulating layer; and a connection layer that forms fillets along longitudinal side surfaces of the first connection terminal, and interconnects the first connection terminal and the second connection terminal. The first connection terminal may have a projection portion.
    Type: Grant
    Filed: October 3, 2008
    Date of Patent: November 9, 2010
    Assignee: Fujikura Ltd.
    Inventors: Tomofumi Kitada, Hiroki Maruo, Ryo Takami
  • Publication number: 20100279229
    Abstract: A photosensitive resin composition comprising: (A) a binder polymer; (B) a photopolymerizable compound that has an ethylenically unsaturated bond; and (C1) a compound represented by general formula (1) below, wherein, at least one R represents a C1-10 alkoxy group or a C1-12 alkyl group; the sum of a, b, and c is 1 to 6; and when the sum of a, b, and c is 2 to 6, each R may be the same as or different from one another.
    Type: Application
    Filed: July 16, 2010
    Publication date: November 4, 2010
    Inventors: Masahiro MIYASAKA, Takashi Kumaki
  • Publication number: 20100270060
    Abstract: A photosensitive resin composition is provided, which has properties necessary for a solder resist (insulative property, solder heat resistance, alkali developability and the like) and is capable of forming a film that is excellent in folding endurance even after the IR reflow process. A flexible circuit board employing the photosensitive resin composition and a circuit board production method are also provided. The photosensitive resin composition comprises: (A) a linear polymer of an ethylenically unsaturated compound comprising a carboxyl-containing ethylenically unsaturated compound; (B) an epoxy resin; (C) a polymerizable compound containing an ethylenically unsaturated group; and (D) a photopolymerization initiator. The photosensitive resin composition has a tensile breaking elongation percentage of not less than 10% and a 2% weight loss temperature of not lower than 260° C. after being cured.
    Type: Application
    Filed: April 23, 2010
    Publication date: October 28, 2010
    Applicant: NITTO DENKO CORPORATION
    Inventors: Masaki Mizutani, Toshikazu Baba
  • Publication number: 20100266951
    Abstract: There is provided a composition for forming a resist underlayer film having a large selection ratio of dry etching rate, exhibiting desired values of the k value and the refractive index n at a short wavelength, for example, in an ArF excimer laser, and further, exhibiting solvent resistance. A resist underlayer film forming composition for lithography comprises a linear polymer having, in a main chain thereof, at least one of an aromatic ring-containing structure and a nitrogen atom-containing structure; and a solvent, wherein to the aromatic ring or the nitrogen atom, at least one alkoxyalkyl group or hydroxyalkyl group is directly bonded.
    Type: Application
    Filed: December 9, 2008
    Publication date: October 21, 2010
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Yoshiomi Hiroi, Tomohisa Ishida, Takafumi Endo
  • Publication number: 20100266962
    Abstract: A method of forming a plurality of capacitors includes forming a plurality of individual capacitor electrodes using two masking steps. An earlier of the two masking steps is used to form an array of first openings over a plurality of storage node contacts. A later of the two masking steps is used to form an array of second openings received partially over and partially offset from the array of first openings. Overlapping portions of the first and second openings are received over the storage node contacts. After both of the two masking steps, conductive material of the individual capacitor electrodes is deposited into the overlapping portions of each of the first and second openings. The individual capacitor electrodes are incorporated into a plurality of capacitors. Other aspects and implementations are contemplated.
    Type: Application
    Filed: June 25, 2010
    Publication date: October 21, 2010
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Fred Fishburn
  • Publication number: 20100265614
    Abstract: A method of writing a servo pattern in a hard disk drive includes manufacturing a master pattern, and writing the reference servo pattern to the disk by using the master pattern and a magnet for magnetizing the disk. The master pattern includes a plurality of ammonite cycles that have substantially the same pattern as the reference servo pattern and are radially formed from the outside toward the inside of the disk. A final servo pattern may be written using the reference servo pattern.
    Type: Application
    Filed: April 15, 2010
    Publication date: October 21, 2010
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ha Yong KIM, Cheol-Soon KIM, Yong-Soo KIM, Sang-Hyun PARK
  • Publication number: 20100265512
    Abstract: An optical Micro Electro-Mechanical System (MEMS) device provides an optical path retardation multiplier. The MEMS device includes a moveable corner cube reflector, a fixed minor and a MEMS actuator. The moveable corner cube reflector is optically coupled to receive an incident beam and reflect the incident beam through 180 degrees towards the fixed mirror. The fixed minor is optically coupled to reflect a reflected beam back towards the moveable corner cube reflector along a reverse path of the incident beam. The MEMS actuator is coupled to the moveable corner cube reflector to cause a displacement of the moveable corner cube reflector to extend an optical path length of the reflected beam.
    Type: Application
    Filed: April 16, 2010
    Publication date: October 21, 2010
    Applicant: SI-WARE SYSTEMS
    Inventors: MOSTAFA MEDHAT, BASSAM A. SAADANY, DIAA A. KHALIL, BASSEM MORTADA
  • Publication number: 20100266961
    Abstract: In a substrate stage device, when an X coarse movement stage moves in an X-axis direction, a Y coarse movement stage, an empty-weight cancelling device and a Y beam move integrally with the X coarse movement stage, and when the Y coarse movement stage moves in a Y-axis direction on the X-coarse movement stage, the empty-weight cancelling device moves integrally with the Y coarse movement stage in the Y-axis direction on the Y beam. Since the Y beam is arranged extending in the Y-axis direction in a state of covering a movement range of the empty-weight cancelling device in the Y-axis direction, the empty-weight cancelling device is constantly supported by the Y beam regardless of the position of the empty-weight cancelling device. Accordingly, a substrate can be guided along an XY plane with high accuracy, without providing a member (e.g. a surface plate or the like) having a guide surface that is large enough to cover the entire movement range of the empty-weight cancelling device.
    Type: Application
    Filed: April 21, 2010
    Publication date: October 21, 2010
    Applicant: NIKON CORPORATION
    Inventors: Shuji KAWAMURA, Atsushi HARA
  • Publication number: 20100261119
    Abstract: The present disclosure relates to a method of fabricating a capacitive touch pane where a plurality of groups of first conductive patterns are formed along a first direction, a plurality of groups of second conductive patterns are formed along a second direction, and a plurality of connection components are formed on a substrate. Each first conductive pattern is electrically connected to another adjacent first conductive pattern in the same group by each connection component and each group of the second conductive patterns is interlaced with and insulated from each group of the first conductive patterns. Next, a plurality of curved insulation mounds are formed to cover the first connection components. Then, a plurality of bridge components are formed to electrically connect each second conductive pattern with another adjacent second conductive pattern in the same group.
    Type: Application
    Filed: March 9, 2010
    Publication date: October 14, 2010
    Applicants: INNOCOM TECHNOLOGY (SHENZHEN) CO., LTD., INNOLUX DISPLAY CORP.
    Inventors: Chao-Sung Li, Lien-Hsin Lee, Kai Meng
  • Publication number: 20100261095
    Abstract: A method of improving damascene wire uniformity without reducing performance. The method includes simultaneously forming a multiplicity of damascene wires and a multiplicity metal dummy shapes in a dielectric layer of a wiring level of an integrated circuit chip, the metal dummy shapes dispersed between damascene wires of the multiplicity of damascene wires; and removing or modifying those metal dummy shapes of the multiplicity of metal dummy shapes within exclusion regions around selected damascene wires of the multiplicity of damascene wires. Also a method of fabricating a photomask and a photomask for use in improving damascene wire uniformity without reducing performance.
    Type: Application
    Filed: November 20, 2009
    Publication date: October 14, 2010
    Applicant: International Business Machines Corporation
    Inventors: Casey Jon Grant, Jude L. Hankey
  • Publication number: 20100261116
    Abstract: This invention relates to a composition used as a developer that contains a surfactant to improve the developing of photoresist, which may contain at least 50 mol % of monomers containing carboxylic acid. The present invention is also a process for the use of the composition.
    Type: Application
    Filed: May 14, 2007
    Publication date: October 14, 2010
    Inventors: Young H. Kim, John Russell Crompton, JR.
  • Publication number: 20100261118
    Abstract: A gradated photomask is provided. The photomask includes a first region including a first plurality of sub-resolution features and a second region including a second plurality of sub-resolution features. The first region blocks a first percentage of the incident radiation. The second region blocks a second percentage of the incident radiation. The first and second percentage are different. An intensity selective exposure method is also provided.
    Type: Application
    Filed: April 9, 2009
    Publication date: October 14, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: George Liu, Kuei Shun Chen, Chih-Yang Yeh, Te-Chih Huang
  • Publication number: 20100255409
    Abstract: A method of fabricating an attenuated phase-shift photomask includes forming a phase-shift material layer on a photomask substrate, forming a light opaque layer on the phase-shift material layer, forming a first resist pattern on the light opaque layer to selectively expose a pattern region, etching the light opaque layer using the first resist pattern as an etch mask, such that a first light opaque pattern layer is formed to selectively expose the phase-shift material layer, removing the first resist pattern, forming a second resist pattern on the light opaque layer, such that a cell pattern block in the pattern region is selectively exposed, and etching the exposed phase-shift material layer using the first light opaque pattern layer as an etch mask to form a phase-shift material pattern layer selectively exposing a top surface of the photomask substrate.
    Type: Application
    Filed: March 29, 2010
    Publication date: October 7, 2010
    Inventors: Man-Kyu Kang, Ju-Mi Bang, Seong-Yoon Kim, Jung-Hyun Lee
  • Publication number: 20100255424
    Abstract: Provided is a method for manufacturing a liquid discharge head including a flow path forming member connected to a discharge port on or above a substrate, the method including: providing a layer containing a photosensitive resin on or above the substrate; providing a mask layer that enables reduction of transmission of light with a photosensitive wavelength of the photosensitive resin, at an area on the layer containing the photosensitive resin, the area corresponding to the flow path; performing exposure for the layer containing the photosensitive resin using the mask layer to make the layer containing the photosensitive resin be a pattern having the shape of the flow path; providing a layer that becomes the flow path forming member, so as to cover the pattern; forming the discharge port at a part of the layer that becomes the flow path forming member; and forming the flow path by removing the pattern.
    Type: Application
    Filed: December 18, 2008
    Publication date: October 7, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Shoji Shiba, Kazunari Ishizuka, Yasuaki Tominaga
  • Publication number: 20100255412
    Abstract: Disclosed is a method of making polysiloxane and polysilsesquioxane hardmask layer photo-imageable with a negative tone. The method is based on a photosensitizer and film modifier. The film modifier reduces pore size of the hardmask films for diffusion control. The negative-tone photo-imageable hardmask is especially beneficial for forming trenches and vias on exposure tools of extreme UV and deep UV lithography. Compositions of negative-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Further disclosed are processes of using photo-imageable hardmasks to create isolated trenches or vias on semiconductor substrates with or without an intermediate layer.
    Type: Application
    Filed: April 5, 2010
    Publication date: October 7, 2010
    Inventor: Sam Xunyun Sun
  • Publication number: 20100253929
    Abstract: Several embodiments of photolithography systems and associated methods of selective die exposure are disclosed herein. In one embodiment, a method for exposing a microelectronic substrate in a photolithography system includes producing an illumination radiation from a radiation source and identifying a field on the microelectronic substrate to be exposed. The field is partitioned into a first region discrete from a second region. The method further includes inhibiting the illumination radiation to expose the first region while simultaneously exposing the second region to the illumination radiation.
    Type: Application
    Filed: April 7, 2009
    Publication date: October 7, 2010
    Applicant: Micron Technology, Inc.
    Inventors: William A. Stanton, Gurtej S. Sandhu
  • Patent number: 7807337
    Abstract: An inductor for a system-on-a-chip and a method for manufacturing the inductor are disclosed. The inductor comprises a conductive line formed by connecting a plurality of conductive patterns grown from a seed layer formed on a lower wiring. The method comprises using an electrolytic plating process or an electroless plating process to grow the plurality of adjacent conductive patterns from the seed layer until they become connected. The method also enables adjusting the height and width of the conductive line to desired levels.
    Type: Grant
    Filed: January 3, 2008
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-Jong Lee, Hong-Seong Son, Ui-Hyoung Lee, Sang-Rok Hah, In-Ryong Kim, Yi-Gwon Kim
  • Publication number: 20100243923
    Abstract: A transparent electromagnetic wave-shielding filter, containing a support, a conductive layer provided on the support, and a hard coat layer provided on the conductive layer, wherein the conductive layer is formed by subjecting an emulsion layer which contains a silver halide and a binder and is coated on the support, to exposure and developing process, wherein the hard coat layer is formed by coating a coating liquid for forming the hard coat layer with a solvent on the conductive layer, and wherein the emulsion layer contains a compound soluble in the solvent of the coating liquid for forming the hard coat layer.
    Type: Application
    Filed: March 24, 2010
    Publication date: September 30, 2010
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroshi SAKUYAMA, Akira ICHIKI, Hideaki NOMURA
  • Publication number: 20100248155
    Abstract: An illumination control module, which enables one diffraction optical element (DOE) to be applied to various photolithography processes, and a diffraction illumination system and a photolithography system including the same are provided. The illumination control module includes a convex-ring-shaped upper lens, and a concave-ring-shaped lower lens.
    Type: Application
    Filed: March 24, 2010
    Publication date: September 30, 2010
    Inventors: Woo-Seok Shim, Yong-Jin Chun, Suk-Joo Lee
  • Publication number: 20100248154
    Abstract: To provide a thiopyran derivative, having a structure expressed by the following general formula 1: where X is O or S; R1 is —H, —CH3, C2-4 alkyl group, thioether group, or ketone group; R2 is —H, —CH3, or trifluoromethyl group; and R1 and R2 may be identical to or different from each other.
    Type: Application
    Filed: June 15, 2010
    Publication date: September 30, 2010
    Applicant: FUJITSU LIMITED
    Inventor: Koji NOZAKI
  • Publication number: 20100248092
    Abstract: In a mask blank substrate to be chucked by a mask stage of an exposure system, the flatness of a rectangular flatness measurement area excluding an area of 2 mm inward from an outer peripheral end surface on a main surface of the mask blank substrate on its side to be chucked by the mask stage is 0.6 ?m or less, and at least three of four corner portions of the flatness measurement area each have a shape that rises toward the outer peripheral side.
    Type: Application
    Filed: June 9, 2010
    Publication date: September 30, 2010
    Applicant: HOYA CORPORATION
    Inventors: Masaru TANABE, Atsushi KAWAGUCHI, Hiroyuki AKAGAWA, Akihiro KAWAHARA
  • Publication number: 20100236819
    Abstract: A method for making a printed circuit board includes: (a) preparing a laminate having a ceramic substrate, first and second metal foils disposed on two opposite surfaces of the ceramic substrate, and a through hole extending through the ceramic substrate and the first and second metal foils; (b) filling the through hole with a metal paste such that the metal paste is in contact with the first and second metal foils; and (c) sintering the metal paste and the laminate such that the metal paste is connected electrically to the first and second metal foils. A printed circuit board made according to the method is also disclosed.
    Type: Application
    Filed: March 15, 2010
    Publication date: September 23, 2010
    Applicant: High Conduction Scientific Co., Ltd.
    Inventors: Wen-Chung Chiang, Keng-Chung Wu, Ying-Chi Hsieh, Cheng-Kang Lu, Ming-Huang Fu
  • Publication number: 20100239964
    Abstract: Test structures and methods for semiconductor devices, lithography systems, and lithography processes are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes using a lithography system to expose a layer of photosensitive material of a workpiece to energy through a lithography mask, the lithography mask including a plurality of first test patterns having a first phase shift and at least one plurality of second test patterns having at least one second phase shift. The layer of photosensitive material of the workpiece is developed, and features formed on the layer of photosensitive material from the plurality of first test patterns and the at least one plurality of second test patterns are measured to determine a optimal focus level or optimal dose of the lithography system for exposing the layer of photosensitive material of the workpiece.
    Type: Application
    Filed: June 2, 2010
    Publication date: September 23, 2010
    Inventor: Sajan Marokkey
  • Patent number: 7799516
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: September 21, 2010
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, SueAnn Bidstrup Allen, Xiaoqun Wu, Clifford Lee Henderson
  • Publication number: 20100233437
    Abstract: A lithographic machine platform and applications thereof is disclosed. The lithographic machine platform comprises: an electron beam or an ion beam generator generating an electron beam or an ion beam; a substrate supporting platform supporting a substrate; and a precursory gas injector injecting a precursory gas above the substrate. The present invention uses the electron beam or the ion beam to induce the precursory gas to react with the electron beam or the ion beam, and then the precursory gas is deposited on the substrate. The present invention not only fabricates a patterned layer on the substrate in a single step but also achieves a high lithographic resolution and avoids remains of contaminations by using the properties of the electron beam or the ion beam and the precursory gas.
    Type: Application
    Filed: November 17, 2009
    Publication date: September 16, 2010
    Applicant: NATIONAL APPLIED RESEARCH LABORATORIES
    Inventors: Chien-Chao HUANG, Chun-Chi CHEN, Shyi-Long SHY, Cheng-San WU, Fu-Liang YANG
  • Publication number: 20100231847
    Abstract: A method of fabricating a switchable liquid crystal polarization grating includes creating a degenerate planar anchoring condition on a surface of a reflective substrate. An alignment layer may be formed on a transmissive substrate and may be patterned to create a periodic alignment condition therein. The transmissive substrate including the patterned alignment layer thereon may be assembled adjacent to the surface of the reflective substrate including the degenerate planar anchoring condition thereon to define a gap therebetween. A liquid crystal layer is formed on the surface of the reflective substrate including the degenerate planar alignment condition. The liquid crystal layer may be formed in the gap directly on the alignment layer such that molecules of the liquid crystal layer are aligned based on the periodic alignment condition in the alignment layer. Related fabrication methods and polarization gratings are also discussed.
    Type: Application
    Filed: April 16, 2008
    Publication date: September 16, 2010
    Inventor: Michael James Escuti
  • Publication number: 20100231490
    Abstract: An organic electroluminescent display device is capable of flowing a constant electric current into each pixel and improving image qualities even if the device displays an image on a large screen. The organic electroluminescent display device including a lower electrode, an organic electroluminescent film, and an upper electrode on a substrate arranged in this order, wherein the upper electrode is divided in units of a pixel or dot by a partition having an insulating property.
    Type: Application
    Filed: April 13, 2007
    Publication date: September 16, 2010
    Applicant: SHARP KABUSHIKI KAISHA
    Inventor: Kiyoshi Okano
  • Publication number: 20100233627
    Abstract: A photosensitive resin composition comprising (A) a binder polymer with a weight-average molecular weight of 35000 to 65000, (B) a photopolymerizable compound with an ethylenically unsaturated bond and (C) a photopolymerization initiator, wherein component (B) includes (B1) a photopolymerizable compound with one ethylenically unsaturated bond, (B2) a photopolymerizable compound with two ethylenically unsaturated bonds and (B3) a photopolymerizable compound with three ethylenically unsaturated bonds, and the proportion of component (B3) with respect to the total of component (B) is 15 to 30 wt %.
    Type: Application
    Filed: February 20, 2007
    Publication date: September 16, 2010
    Applicant: Hitachi Chemical Co., Ltd.
    Inventors: Takashi Kumaki, Masahiro Miyasaka