Named Electrical Device Patents (Class 430/319)
  • Publication number: 20100233622
    Abstract: Disclosed is a method for manufacturing fine patterns of semiconductor devices using a double exposure patterning process for manufacturing the second photoresist patterns by simply exposing without an exposure mask. The method comprises the steps of: forming a first photoresist pattern on a semiconductor substrate on which a layer to be etched is formed; coating a composition for a mirror interlayer on the first photoresist pattern to form a mirror interlayer; forming a photoresist layer on the resultant; and forming a second photoresist pattern which is made by a scattered reflection of the mirror-interlayer and positioned between the first photoresist patterns, by exposing the photoresist layer to a light having energy which is lower than a threshold energy (Eth) of the photoresist layer without an exposure mask, and then developing the same.
    Type: Application
    Filed: November 13, 2009
    Publication date: September 16, 2010
    Applicant: Dongjin Semichem Co., Ltd.
    Inventors: Jun-Gyeong Lee, Jung-Youl Lee, Jeong-Sik Kim, Eu-Jean Jang, Jae-Woo Lee, Deog-Bae Kim, Jae-Hyun Kim
  • Publication number: 20100227277
    Abstract: In a liquid crystal exposure apparatus, base pads are respectively placed on two surface plates, and one step board is supported by the base pads. A device main body of an empty-weight cancelling device moves from above one of the surface plates to above the other by moving on the step board, and therefore, a boundary section between the two adjacent surface plates that are separately placed does not function as a guide surface used when the empty-weight cancelling device moves. Accordingly, a fine movement stage that holds a substrate can be guided along an XY plane with high accuracy although the two surface plates are placed apart.
    Type: Application
    Filed: March 1, 2010
    Publication date: September 9, 2010
    Applicant: NIKON CORPORATION
    Inventor: Yasuo AOKI
  • Publication number: 20100227278
    Abstract: A resist pattern thickening material has resin, a crosslinking agent and a compound having a cyclic structure, or resin having a cyclic structure at a part. A resist pattern has a surface layer on a resist pattern to be thickened with etching rate (nm/s) ratio of the resist pattern to be thickened the surface layer of 1.1 or more, under the same condition, or a surface layer to a resist pattern to be thickened. A process for forming a resist pattern includes applying the thickening material after forming a resist pattern to be thickened on its surface. A semiconductor device has a pattern formed by the resist pattern. A process for manufacturing the semiconductor device has applying, after forming a resist pattern to be thickened, the thickening material to the surface of the resist pattern to be thickened, and patterning the underlying layer by etching, the pattern as a mask.
    Type: Application
    Filed: May 19, 2010
    Publication date: September 9, 2010
    Applicant: FUJITSU LIMITED
    Inventors: Miwa Kozawa, Koji Nozaki, Takahisa Namiki, Junichi Kon, Ei Yano
  • Publication number: 20100221463
    Abstract: In one general aspect, methods and articles of manufacture for creating micro-structures are disclosed. In one embodiment, the micro-structures are configured to provide a desired level of hermiticity to other micro-sized devices, such as MEMS and microfluidic devices. In one embodiment, the microstructures are formed from a single species of photoresist, where the photoresist is lithographically patterned to encapsulate the micro-sized device. In general, the ability to form an encapsulating micro-structure from a single photoresist relies in part on applying variable light doses to a later of photoresist to affect a desired level of cross-linking within the photoresist.
    Type: Application
    Filed: October 28, 2009
    Publication date: September 2, 2010
    Applicant: UTI LIMITED PARTNERSHIP
    Inventors: Imed Zine-El-Abidine, Michael Okoniewski
  • Publication number: 20100219073
    Abstract: The present invention discloses a biological test chip and a method for manufacturing the same. The biological test chip comprises a test unit and an auxiliary unit for producing capillary action. The test unit includes a baseboard, at least one pair of electrodes and wires connected to the electrodes that are provided on the baseboard by using printed-circuit-board manufacturing process, and a photoresist layer covering the baseboard. The auxiliary unit includes a double-faced adhesive gel layer and a hydrophilic layer that have at least two thin plates respectively. By utilizing the manufacturing process for a printing circuit board and the photoresist layer, body fluid to be tested can be rapidly drawn in to fill the reaction areas formed in the photoresist layer and thereby can react evenly and rapidly with the reactive enzymes used for the test.
    Type: Application
    Filed: March 2, 2010
    Publication date: September 2, 2010
    Inventor: Chiu-Hui LIN
  • Publication number: 20100221657
    Abstract: There is provided a composition for forming a resist underlayer film not only having a large selection ratio of a dry etching rate but also exhibiting desired values of the k value and of the refractive index n at a short wavelength such as a wavelength of an ArF excimer laser. A resist underlayer film forming composition for lithography comprising: a linear polymer; and a solvent, wherein a backbone of the linear polymer has a unit structure in which 2,4-dihydroxy benzoic acid is introduced through an ester bond and an ether bond.
    Type: Application
    Filed: October 16, 2008
    Publication date: September 2, 2010
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Rikimaru Sakamoto, Yoshiomi Hiroi, Tomohisa Ishida, Takafumi Endo
  • Publication number: 20100219508
    Abstract: A semiconductor device includes a semiconductor substrate on which an internal circuit is formed in a central position an insulating layer formed over the semiconductor substrate, and a moisture-resistant ring formed by a metal plug embedded in the insulating layer, the moisture-resistant ring surrounding the internal circuit, the moisture-resistant ring extending over the semiconductor substrate in a shape, the moisture-resistant ring including a first extending portion linearly extending in a first direction in parallel to the surface of the semiconductor substrate, a vertical portion connected to the first extending portion extending in a second direction orthogonal to the first extending portion, and a second extending portion orthogonal to the vertical portion and parallel to the surface of the semiconductor substrate, the second extending portion spaced apart from the first extending portion, the second extending portion crossing the vertical portion.
    Type: Application
    Filed: February 9, 2010
    Publication date: September 2, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Kenichi Watanabe
  • Publication number: 20100213956
    Abstract: A probe for current test comprising: a probe body having a plate-like connection portion whose end face becomes a connection face to a probe board; a solder layer formed on at least one side face of said connection portion; and a guide portion formed on the connection portion, penetrating the connection portion in its thickness direction from the one side face with the solder layer formed to the other side face, and when the solder layer is melted, capable of guiding a portion thereof to the other side face.
    Type: Application
    Filed: January 25, 2006
    Publication date: August 26, 2010
    Applicant: KABUSHIKI KAISHA NIHON MICRONICS
    Inventors: Akira Souma, Yoshikazu Urushiyama, Masahisa Tazawa, Tomoya Sato, Hideki Hirakawa, Takayuki Hayashizaki
  • Patent number: 7781151
    Abstract: A manufacturing method of fuel cell having micro sensors and polymer layers is disclosed. It include the following steps of: (1) depositing first polymer layer step, (2) first lithographic processing step, (3) depositing chromium layer step, (4) depositing gold layer step, (5) removing first photo-resist layer step, (6) depositing second polymer layer step, (7) second lithographic processing step, (8) plasma etching step, (9) removing second photo-resist layer step, and (10) complete step. About this invention, the polymer layers can protect the micro sensors. The micro sensors can be installed at a specific location in the flow channel. The entire manufacturing cost is lowered.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: August 24, 2010
    Assignee: Yuan Ze University
    Inventors: Chi-Yuan Lee, Shuo-Jen Lee, Guan-Wei Wu
  • Patent number: 7781763
    Abstract: Disclosed herein is a composition including a perfluoropolyether derivative, a photosensitive polymer or a copolymer thereof, and a photocuring agent, a passivation layer, organic thin film transistor, and electronic device including the same, a method of forming the passivation layer and methods of fabricating the organic thin film transistor and electronic device. The organic thin film transistor may prevent or reduce oxygen and moisture from infiltrating thereinto, and thus may prevent or reduce the degradation of the performance thereof caused by ambient air, prevent or reduce the deterioration thereof, and may more easily be formed into a pattern, thereby exhibiting characteristics suitable for use in electronics.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: August 24, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung Seok Hahn, Do Hwan Kim, Bon Won Koo, Sang Yoon Lee, Hyun Sik Moon
  • Publication number: 20100209855
    Abstract: Disclosed is a method for manufacturing semiconductor devices including steps of: forming on a wafer W a resist film 102 of a developer-repellent material, which has a contact angle of, e.g., 65 degrees or more with a developer; exposing the resist film 102 with a desired pattern of light; oxidizing a surface layer of the resist film 102 to render the surface layer 104 hydrophilic; and developing the resist film 102 using the developer to form a resist pattern 105, whereby solving both of collapsing of the pattern due to micro-swelling and dimensional variations of the pattern due to nonuniformity of development to improve yielding of product.
    Type: Application
    Filed: September 16, 2008
    Publication date: August 19, 2010
    Inventor: Toshihiko Tanaka
  • Publication number: 20100208186
    Abstract: In a manufacturing method of a display device where a photosensitive material film formed on a light-transmitting film is divided into a plurality of small regions and the respective small regions of the photosensitive material film are sequentially exposed, it is possible to decrease the deviation of a focal point of light to be radiated to each small region. In exposing the photosensitive material film formed on the light-transmitting film, the whole exposure subject region of the photosensitive material film is divided into a plurality of small regions, and a focal point of light to be radiated to each small region is decided.
    Type: Application
    Filed: February 5, 2010
    Publication date: August 19, 2010
    Inventors: Hideo TANABE, Toshio Tojo
  • Publication number: 20100209856
    Abstract: A device manufacturing method is provided. The method includes generating a first patterned beam, projecting the first patterned beam onto a substrate to form a first plurality of spot exposures on the substrate, scanning the substrate in a direction while projecting the first patterned beam, generating a second patterned beam, projecting the second patterned beam onto the substrate to form a second plurality of spot exposures on the substrate, and alternating spot exposures of the first plurality of spot exposures with respective spot exposures of the second plurality of spot exposures.
    Type: Application
    Filed: May 6, 2010
    Publication date: August 19, 2010
    Applicant: ASML Netherlands B.V.
    Inventor: Pieter Johannes Marius Van Groos
  • Publication number: 20100207654
    Abstract: A Micro-Electro-Mechanical-Systems (MEMS) interconnection pin is fabricated on a sacrificial layer, which is formed on a conductive layer and a substrate. The MEMS interconnection pin has a pin base attached to a frame that has direct contact to the conductive layer. The sacrificial layer is then removed, at least partially, to detach the MEMS interconnection pin from the substrate. In one embodiment, the MEMS interconnection pin has a pin base, two springs extending out from two different surfaces of the pin base, and a tip portion attached to each spring. The tip portions include one or more contact tips to make contact to conductive subjects.
    Type: Application
    Filed: February 18, 2009
    Publication date: August 19, 2010
    Applicant: WinMEMS Technologies Holdings Co., Ltd.
    Inventor: Tseng-Yang Hsu
  • Patent number: 7776506
    Abstract: In one aspect, the present invention relates to coating compositions that comprise a resin component, wherein the predominant portion of the resin component comprising one or more resins that are at least substantially free of fluorine. Coating compositions of the invention are useful as photoresist overcoat layers, including in immersion lithography processing.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: August 17, 2010
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan Wang, Peter Trefonas, III, Michael K. Gallagher
  • Publication number: 20100203456
    Abstract: The present invention improves the OPE characteristic generated by the difference between sparse and dense mask patterns and promotes fidelity in the design of the pattern. Because of this, the present invention includes a step of forming a resist having an acid dissociative dissolution suppression group on a substrate, a step of coating the resist with an acid polymer dissolved in an alcohol based solvent and forming an upper layer film, a step of exposing through a mask, a step of performing a baking process, and a step of processing with an alkali developer, and wherein in the step of performing a baking process, a mixing layer is formed on the resist by the upper layer film and in which a thicker mixing layer is formed in an unexposed part of a region where the pattern density of the mask pattern is high compared to a region where the pattern density is low.
    Type: Application
    Filed: April 26, 2010
    Publication date: August 12, 2010
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventors: Toshifumi Suganaga, Tetsuro Hanawa, Takeo Ishibashi
  • Publication number: 20100203455
    Abstract: An apparatus and method maintain immersion fluid in the gap adjacent to the projection lens during the exchange of a work piece in a lithography machine. The apparatus and method include an optical assembly that projects an image onto a work piece and a stage assembly including a work piece table that supports the work piece adjacent to the optical assembly. An environmental system is provided to supply and remove an immersion fluid from the gap between the optical assembly and the work piece on the stage assembly. After exposure of the work piece is complete, an exchange system removes the work piece and replaces it with a second work piece. An immersion fluid containment system maintains the immersion liquid in the gap during removal of the first work piece and replacement with the second work piece.
    Type: Application
    Filed: April 19, 2010
    Publication date: August 12, 2010
    Inventor: Michael Binnard
  • Publication number: 20100193223
    Abstract: A solder resist coating for a rigid-flex circuit board contains one or more conductor tracks and at least one flex area. The solder resist coating has one or more movement gaps in the flex area of the circuit board. In addition, an electronic module is formed having at least one rigid-flex circuit board with a solder resist coating.
    Type: Application
    Filed: February 1, 2010
    Publication date: August 5, 2010
    Applicant: CONTINENTAL AUTOMOTIVE GMBH
    Inventors: Detlev Bagung, Michael Decker, Gregory Drew, Thomas Riepl, Bernd Roller
  • Publication number: 20100190107
    Abstract: A cyclic compound shown by the following formula (I):
    Type: Application
    Filed: June 13, 2008
    Publication date: July 29, 2010
    Applicant: Idemitsu Kosan Co. Ltd
    Inventors: Mitsuru Shibata, Takanori Owada, Akinori Yomogita, Takashi Kashiwamura, Masashi Sekikawa, Norio Tomotsu, Hirotoshi Ishii
  • Publication number: 20100188791
    Abstract: A conductive layer containing gold as a main component is formed on the upper surface of an insulating base. A gap is formed on the conductive layer. A plurality of leader electrodes are formed to oppose one another via the gap. An excess voltage protection material layer is formed to cover some parts of the respective leader electrodes and the gap, so as to obtain an anti-static part. This method enables an accurate formation of a narrow gasp. Thus, it is possible to manufacture an anti-static part having a low peak voltage, stable suppression characteristic of electrostatic discharge (ESD), and a high sulfide resistance.
    Type: Application
    Filed: October 19, 2007
    Publication date: July 29, 2010
    Inventors: Kenji Nozoe, Takeshi Iseki, Takashi Morino, Hideaki Tokunaga
  • Patent number: 7763415
    Abstract: A method of fabricating a semiconductor device is provided. The method includes forming at least one etch target film on a substrate, forming a first reflowable etch mask on the at least one etch target film, patterning the etch target film using the first reflowable etch mask. The method further includes reflowing the first reflowable etch mask to form a second etch mask and patterning the etch target film using the second etch mask.
    Type: Grant
    Filed: May 9, 2006
    Date of Patent: July 27, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Min Park, Jang-Soo Kim, Hi-Kuk Lee
  • Publication number: 20100183977
    Abstract: Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing.
    Type: Application
    Filed: December 31, 2009
    Publication date: July 22, 2010
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Deyan Wang, Chunyi Wu
  • Publication number: 20100182272
    Abstract: A touch screen panel for an image display device and a method of fabricating the same. The touch screen panel includes: a transparent substrate; a plurality of first and second sensing patterns on one side of the transparent substrate, the first sensing patterns coupled to one another along a first direction, and the second sensing patterns positioned between the first sensing patterns including patterns separated from one another; a first insulating layer on the first and second sensing patterns, the first insulating layer having a plurality of contact holes, and the contact holes exposing portions of the second sensing patterns; and connecting patterns on the first insulating layer, the connecting patterns coupling the second sensing patterns to one another along the second direction through the contact holes. In the touch screen panel, the first insulating layer is formed of a transparent photoresist.
    Type: Application
    Filed: June 18, 2009
    Publication date: July 22, 2010
    Inventors: Sung-Ku Kang, Tae-Hyeog Jung, Jin-Guen Kim
  • Publication number: 20100181656
    Abstract: A stabilizing solution for treating photoresist patterns and methods of preventing profile abnormalities, toppling and resist footing are disclosed. The stabilizing solution comprises a non-volatile component, such as non-volatile particles or polymers, which is applied after the photoresist material has been developed. By treating the photoresist with the solution containing a non-volatile component after developing but before drying, the non-volatile component fills the space between adjacent resist patterns and remains on the substrate during drying. The non-volatile component provides structural and mechanical support for the resist to prevent deformation or collapse by liquid surface tension forces.
    Type: Application
    Filed: February 16, 2010
    Publication date: July 22, 2010
    Inventors: Jon Daley, Yoshiki Hishiro
  • Publication number: 20100183985
    Abstract: A process for producing a semiconductor device includes a circuit formation step of forming circuit wiring on a semiconductor wafer using a chemically-amplified resist, and a cured film formation step of forming a cured film that protects the circuit wiring after forming the circuit wiring, the cured film being formed of a cured material of a photosensitive resin composition that comprises an alkali-soluble resin having a polybenzoxazole structure or a polybenzoxazole precursor structure, a compound that generates an acid upon exposure to light, and a solvent. The photosensitive resin composition substantially does not contain N-methyl-2-pyrrolidone. The process can suppress a T-top phenomenon or the like that may occur when forming a circuit on a semiconductor wafer using a chemically-amplified resist in the production of semiconductor devices.
    Type: Application
    Filed: June 17, 2008
    Publication date: July 22, 2010
    Applicant: SUMITOMO BAKELITE CO., LTD.
    Inventor: Toshio Banba
  • Publication number: 20100183984
    Abstract: A process and related apparatus for generating an output radiation through an output aperture, including generating pulsed radiations by a plurality of radiation sources, each source being arranged for respectively (i) generating within a respective plasma a respective pulsed elementary radiation whose wavelengths include a respective desired range, and (ii) directing rays of its respective elementary radiation on the output aperture. For each source, refractive indices of rays are distributed in a respective control region through which its respective elementary radiation passes and located in its respective plasma, to selectively deviate rays of its respective elementary radiation as a function of their wavelength, and temporally multiplexing the radiation sources to obtain at the output aperture the output radiation.
    Type: Application
    Filed: February 19, 2008
    Publication date: July 22, 2010
    Applicant: NANO UV
    Inventor: Peter Choi
  • Patent number: 7759050
    Abstract: Provided is a laminated body comprising a substrate to be ground and a support, where the substrate may be ground to a very small (thin) thickness and can then be separated from the support without damaging the substrate. One embodiment is a laminated body comprising a substrate to be ground, a curable silicone adhesive layer in contact with the substrate to be ground, a photothermal conversion layer comprising a light absorbing agent and a heat decomposable resin, and a light transmitting support. After grinding the substrate surface which is opposite that in contact with the adhesive layer, the laminated body is irradiated through the light transmitting layer and the photothermal conversion layer decomposes to separate the substrate and the light transmitting support.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: July 20, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: Carl R. Kessel, Larry D. Boardman, Richard J. Webb
  • Publication number: 20100178613
    Abstract: Disclosed are an optical display device producing uniform light distribution and a method of fabricating such devices. The optical display device has waveguides arranged in vertical and horizontal directions. The waveguide has a conical shape whose cross-section decreases towards the light-projection side thereof. At least one of the size, height, spacing, and refraction index of the waveguide is designed to be different for each section, depending on an incident angle and/or intensity of light inputted from a light source. Therefore, the intensity of projected light can be made uniform over all sections of the optical device.
    Type: Application
    Filed: March 24, 2010
    Publication date: July 15, 2010
    Applicant: SEKONIX CO. LTD.
    Inventors: Gyuhwan HWANG, Youngbin YU, Hyunsoo LEE
  • Publication number: 20100177488
    Abstract: A method of polymerizing poly(cyclic)olefin monomers encompassing (a) combining a monomer composition containing the poly(cyclic)olefin monomers, a non-olefinic chain transfer agent and an activator compound to faun a mixture; (b) heating the mixture; and (c) adding a polymerization catalyst containing Ni and/or Pd. The non-olefinic chain transfer agent includes one or more compounds selected from H2, alkylsilanes, alkylalkoxysilanes, alkylgermanes, alkylalkoxygermanes, alkylstannanes, and alkylalkoxystannanes. The activator is characterized as having an active hydrogen with a pKa of at least 5. The resulting poly(cyclic)olefin polymers can be used in photoresist compositions.
    Type: Application
    Filed: February 4, 2010
    Publication date: July 15, 2010
    Applicant: PROMERUS LLC
    Inventors: Larry F. Rhodes, Dennis A. Barnes, Andrew Bell, Brian K. Bennett, Chun Chang, Xiaoming Wu, John-Henry Lipian
  • Publication number: 20100173249
    Abstract: Disclosed herein is a composition for removing an immersion lithography solution. The composition includes an organic solvent and an acid compound. Also disclosed is a method for manufacturing a semiconductor device including an immersion lithography process. When a photoresist pattern is formed by the immersion lithography process, an exposure process is performed on a photoresist film formed over an underlying layer with an immersion lithography exposer. Then, the composition is dripped over the wafer to remove residual immersion lithography solution on the photoresist film, thereby improving a water mark defect phenomenon.
    Type: Application
    Filed: March 22, 2010
    Publication date: July 8, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Jae Chang Jung, Sung Koo Lee
  • Patent number: 7749688
    Abstract: A process of manufacturing a liquid crystal display device of transverse electric-field type, wherein a halftone photomask which is used to form a photoresist pattern has a fully light-shielding area preventing UV irradiation of a portion of an active matrix substrate in which a thin-film transistor element is to be formed, so that the photoresist pattern includes a positive resist portion which has a first thickness and which is formed on the above-indicated portion of the substrate. The halftone mask further has a fully light-transmitting area which permits fully UV transmission therethrough to provide the photoresist pattern with a resist-free area which corresponds to a portion of the substrate in which a contact hole serving as a third connection portion connecting an external scanning-line driver circuit and a scanning-line terminal portion through a junction electrode is to be formed.
    Type: Grant
    Filed: October 13, 2005
    Date of Patent: July 6, 2010
    Assignee: Obayashiseikou Co., Ltd.
    Inventor: Naoto Hirota
  • Patent number: 7749686
    Abstract: A method for producing a conductive film, which comprises: exposing and developing a light-sensitive material comprising a support and thereon an emulsion layer containing a silver salt emulsion to form a metallic silver; and then subjecting the light-sensitive material to a smoothing treatment (such as a calender treatment).
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: July 6, 2010
    Assignee: Fujifilm Corporation
    Inventors: Akira Ichiki, Joh Kumura
  • Publication number: 20100167213
    Abstract: A semiconductor device manufacturing method includes: forming a first anti-reflective coating on a semiconductor wafer; forming a second anti-reflective coating on the first anti-reflective coating; forming a resist film on the second anti-reflective coating; selectively exposing the resist film to light; developing the resist film and the anti-reflective coatings after the light exposure; and processing the semiconductor wafer using as a mask a pattern of the resist film obtained by the development. The photosensitizer concentration of the first anti-reflective coating is higher than the photosensitizer concentration of the second anti-reflective coating.
    Type: Application
    Filed: November 18, 2009
    Publication date: July 1, 2010
    Inventors: Yuriko SEINO, Tatsuhiko EMA
  • Publication number: 20100167537
    Abstract: One embodiment relates to a computer method of providing an electronic mask set for an integrated circuit (IC) layer. In the method, a first electronic mask is generated for the IC layer. The first electronic mask includes a first series of longitudinal segments from the IC layer, where the first series has fewer than all of the longitudinal segments in the IC layer. A second electronic mask is also generated for the IC layer. The second electronic mask includes a second series of longitudinal segments from the IC layer, where the second series has fewer than all of the longitudinal segments in the IC layer and differs from the first series. The first and second masks are generated so a coupling segment extends traverse to the first direction and couples one longitudinal segment on the IC layer to another longitudinal segment on the IC layer.
    Type: Application
    Filed: December 3, 2009
    Publication date: July 1, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Thomas J. Aton
  • Publication number: 20100167021
    Abstract: A method of forming a semiconductor structure is provided. First, a target layer and a mask layer are sequentially formed on a substrate. Thereafter, a first pattern transfer layer having a plurality of openings is formed on the mask layer. Afterwards, a second pattern transfer layer is formed in the openings of the first pattern transfer layer. The mask layer is then patterned, using the first pattern transfer layer and the second pattern transfer layer as a mask, so as to form a patterned mask layer. Further, the target layer is patterned using the patterned mask layer.
    Type: Application
    Filed: December 29, 2008
    Publication date: July 1, 2010
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Hong-Ji Lee, Shih-Ping Hong, Fang-Hao Hsu
  • Publication number: 20100167211
    Abstract: A method for forming fine patterns in a semiconductor device includes forming a first mask layer over an etch target layer, forming a first pattern over the first mask layer, reducing a size of the first pattern, forming a first spacer on a side face of the first pattern, removing the first pattern and patterning the first mask layer using the first spacer as a mask and removing the first spacer. The method also includes oxidating a surface of the patterned first mask layer, forming the first mask layer with reduced size by removing the oxidated portion over the surface of the first mask layer, forming a second spacer on a side wall of the first mask layer and removing the first mask layer, and patterning the etch target layer using the second spacer as a mask.
    Type: Application
    Filed: June 26, 2009
    Publication date: July 1, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Dong Seok KIM, Jin Yul LEE
  • Publication number: 20100167472
    Abstract: A method of forming a resist feature includes forming a resist layer over a semiconductor body, and selectively exposing the resist layer. The method further includes performing a first bake of the selectively exposed resist layer, and developing the selectively exposed resist layer to form a resist feature having a corner edge associated therewith, thereby exposing a portion of the semiconductor body. A second bake of the developed selectively exposed resist layer is then performed, thereby rounding the corner edge of the resist feature.
    Type: Application
    Filed: December 23, 2009
    Publication date: July 1, 2010
    Applicant: Texas Instruments Incorporated
    Inventors: Yiming Gu, Shaofeng Yu, James Blatchford
  • Patent number: 7745100
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: June 29, 2010
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, SueAnn Bidstrup Allen, Xiaoqun Wu, Clifford Lee Henderson
  • Publication number: 20100159369
    Abstract: There are provided a phase shift mask with a new structure. The phase shift mask provided includes: a substrate that is transparent to irradiation light, a shielding region formed on the substrate and in which a line pattern is formed, and a first transparent region and a second transparent region located on respective opposite sides of the shielding region on the substrate, wherein a phase shifter is formed under the first transparent region, and the phase shifter has a side wall including an outward protruding bent portion. The phase shifter can be formed by, for example, irradiating and scanning a predetermined region of the substrate with femtosecond pulse laser light applied from above the substrate.
    Type: Application
    Filed: December 16, 2009
    Publication date: June 24, 2010
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Masahito HIROSHIMA
  • Patent number: 7741260
    Abstract: The invention provides a novel rinsing fluid which can convert an easily wettable resist pattern surface having a contact angle of 40° or below into one having a contact angle of 70° or above to inhibit pattern collapse effectively and thereby give high-quality products.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: June 22, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Jun Koshiyama, Kazumasa Wakiya, Fumitake Kaneko, Atsushi Miyamoto, Hidekazu Tajima, Yoshihiro Sawada
  • Publication number: 20100149460
    Abstract: A liquid crystal display device may include a first substrate; a second substrate; a first polarizing plate on the first substrate; a second polarizing plate arranged on the second substrate, and so that a direction of an absorption axis of the second polarizing plate being perpendicular to a direction of an absorption axis of the first polarizing plate; a liquid crystal layer arranged between the first substrate and the second substrate; a color filter layer arranged between the liquid crystal layer and the first or the second substrate, the color filter layer having a plurality of pixels of two or more colors. The retardation thin film has in-plane birefringence index satisfying 0.75??n[fr]/?d[fr]?1.35 for a region corresponding to a reflection part of a pixel and satisfying ?n[t]<1.2×10?3 for a region corresponding to a transmission part of a pixel.
    Type: Application
    Filed: February 12, 2010
    Publication date: June 17, 2010
    Applicant: Toppan Printing Co., Ltd.
    Inventors: Sosuke Akao, Hironobu Suda, Yuji Kubo, Godai Fukunaga, Kazuhiro Osato, Yuki Yasu, Takao Taguchi, Masashi Aimatsu
  • Publication number: 20100143849
    Abstract: A semiconductor device manufacturing method includes: forming a foundation film on a semiconductor wafer; after forming the foundation film, forming a reaction layer of the semiconductor wafer and the foundation film therebetween; removing the foundation film and leaving the reaction layer on the semiconductor wafer; forming a resist film on the reaction layer; patterning the resist film; and using the patterned resist film as a mask to perform processing on the semiconductor wafer.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 10, 2010
    Inventors: Tatsuhiko Ema, Yuriko Seino, Shinichi Ito, Hirokazu Kato
  • Publication number: 20100143850
    Abstract: A method of manufacturing a semiconductor device by performing divisional exposure on a predetermined area on a wafer, through two or more reticles, on each of which a mask pattern region is formed. The method includes arranging the reticles such that an outer most periphery of a field circle of exposure light incident on the wafer aligns with at least one side of the mask pattern region formed in the reticle, and exposing, to exposure light, the wafer, through the reticles so arranged.
    Type: Application
    Filed: February 22, 2010
    Publication date: June 10, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Yasuhiro Sekine
  • Publication number: 20100143828
    Abstract: The invention provides a method for forming a selective mask on a surface of a layer of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z (0?X?1, 0?Y?1, 0?Z?1), which is a method for forming a mask with a minute width suitable for microfabrication in nano-order. (1) An energy beam 4a, 4b is selectively irradiated onto a natural oxide layer 2 formed on the surface of the layer 1 of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z. (2) Of the natural oxide layer 2, parts other than parts onto which the energy beam 4a, 4b has been irradiated is removed by heating. (3) The natural oxide layer 2 of the parts onto which the energy beam 4a, 4b has been irradiated is partially removed by heating while alternatively carrying out a rise and fall in heating temperature.
    Type: Application
    Filed: April 25, 2005
    Publication date: June 10, 2010
    Inventors: Naokatsu Sano, Tadaaki Kaneko
  • Publication number: 20100143848
    Abstract: Described herein are processing techniques for fabrication of stretchable and/or flexible electronic devices using laser ablation patterning methods. The laser ablation patterning methods utilized herein allow for efficient manufacture of large area (e.g., up to 1 mm2 or greater or 1 m2 or greater) stretchable and/or flexible electronic devices, for example manufacturing methods permitting a reduced number of steps. The techniques described herein further provide for improved heterogeneous integration of components within an electronic device, for example components having improved alignment and/or relative positioning within an electronic device. Also described herein are flexible and/or stretchable electronic devices, such as interconnects, sensors and actuators.
    Type: Application
    Filed: December 9, 2008
    Publication date: June 10, 2010
    Inventors: Kanti Jain, Kevin Lin
  • Publication number: 20100136466
    Abstract: A method for manufacturing a semiconductor device comprises forming a photoresist pattern by an exposure process with an exposure mask including a shifter pattern and further performing a reflow process on the photoresist pattern to obtain a line/space pattern having a wave type with a uniform a pattern line-width and an improved profile.
    Type: Application
    Filed: January 29, 2010
    Publication date: June 3, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Soon Ho OH, Ki Sung Kwon
  • Publication number: 20100134948
    Abstract: Disclosed are a humidity sensor and a fabricating method thereof. The humidity sensor includes a substrate, an anodic aluminum oxide layer formed on the substrate and having a plurality of holes, and electrodes formed on the anodic aluminum oxide layer, in order to improve sensitivity and accuracy of the humidity sensor. Further, the fabricating method of a humidity sensor includes preparing an aluminum substrate, forming an anodic aluminum oxide layer by oxidizing the aluminum substrate, and forming electrodes on the anodic aluminum oxide layer.
    Type: Application
    Filed: November 13, 2009
    Publication date: June 3, 2010
    Applicant: POSTECH ACADEMY-INDUSTRY FOUNDATION
    Inventors: Hyun-Chul PARK, Hye-Jin KIM, Woon-Bong HWANG, Young-Deuk KIM, Kun-Hong LEE
  • Publication number: 20100136488
    Abstract: A pattern creation method has extracting a first pattern and a second pattern which are different from each other from among first mask patterns created based on a first design pattern, calculating a best focus difference between the first pattern and the second pattern based on first exposure conditions, comparing the best focus difference to a predetermined threshold value, and if the best focus difference is larger than the threshold value, correcting the first design pattern to create a second design pattern.
    Type: Application
    Filed: August 26, 2009
    Publication date: June 3, 2010
    Inventor: Kazuya FUKUHARA
  • Publication number: 20100127255
    Abstract: The present invention provides Schottky-like and ohmic contacts comprising metal oxides on zinc oxide substrates and a method of forming such contacts. The metal oxide Schottky-like and ohmic contacts may be formed on zinc oxide substrates using various deposition and lift-off photolithographic techniques. The barrier heights of the metal oxide Schottky-like contacts are significantly higher than those for plain metals and their ideality factors are very close to the image force controlled limit. The contacts may have application in diodes, power electronics, FET transistors and related structures, and in various optoelectronic devices, such as UV photodetectors.
    Type: Application
    Filed: May 19, 2008
    Publication date: May 27, 2010
    Inventors: Martin Ward Allen, Steven Michael Durbin
  • Publication number: 20100129736
    Abstract: A photomask used for manufacturing a semiconductor device includes a substrate; and one or more layers disposed over the substrate, the one or more layers defining a full field area and a reduced field area with a primary pattern being formed in the reduced field area, wherein the full field area is defined by a width of at least 90 mm and a height of at least 100 mm, and the reduced field area is defined by a width within the range of approximately 20-80 mm and a height within the range of approximately 20-80 mm.
    Type: Application
    Filed: June 17, 2009
    Publication date: May 27, 2010
    Inventors: Bryan S. Kasprowicz, Christopher J. Progler