Named Electrical Device Patents (Class 430/319)
  • Publication number: 20140370447
    Abstract: A mask is disclosed which includes a plurality of first phase shift regions disposed on a first side of the mask, and a plurality of second phase shift regions disposed on a second side of the mask. The first phase shift regions and second phase shift regions may be alternating phase shift regions in which phase shift of the first phase shift regions is out of phase, for instance by 180 degrees, from phase shift of the second phase shift regions. A method for forming the mask, and a semiconductor device fabrication method using the mask is also disclosed.
    Type: Application
    Filed: September 3, 2014
    Publication date: December 18, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventors: Guoxiang NING, Chunyu WONG, Paul ACKMANN, Sarasvathi THANGARAJU
  • Publication number: 20140363767
    Abstract: The method for forming a resin cured film pattern according to the invention comprises a first step in which there is formed on a base material a photosensitive layer composed of a photosensitive resin composition comprising a binder polymer with a carboxyl group having an acid value of 75 mgKOH/g or greater, a photopolymerizable compound and a photopolymerization initiator, and having a thickness of 10 ?m or smaller, a second step in which prescribed sections of the photosensitive layer are cured by irradiation with active light rays, and a third step in which the sections of the photosensitive layer other than the prescribed sections are removed to form a cured film pattern of the prescribed sections of the photosensitive layer, wherein the photosensitive resin composition comprises an oxime ester compound and/or a phosphine oxide compound as the photopolymerization initiator.
    Type: Application
    Filed: December 4, 2012
    Publication date: December 11, 2014
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Yasuharu Murakami, Hiroshi Yamazaki, Yoshimi Igarashi, Naoki Sasahara, Ikuo Mukai
  • Publication number: 20140363633
    Abstract: Methods of reducing a registration error of a photomask are provided. A method of reducing a registration error of a photomask may include identifying the registration error with respect to a pattern element in a pattern region of the photomask. Moreover, the method may include reducing a thickness of a portion of a non-pattern region of the photomask by irradiating an energy beam onto a location of the non-pattern region of the photomask that is spaced apart from the pattern element, to generate stress at the pattern element. Related photomasks and methods of manufacturing an integrated circuit are also provided.
    Type: Application
    Filed: February 10, 2014
    Publication date: December 11, 2014
    Inventors: Sang-hyun Kim, Seong-sue Kim, Dong-gun Lee, Chalykh Roman, Mun-ja Kim
  • Publication number: 20140363771
    Abstract: An embodiment of the invention provides a manufacturing method of a display panel. The method includes: providing a first substrate, a second substrate and a liquid crystal layer sandwiched therebetween, wherein the liquid crystal layer is doped with a photopolymerization monomer, and the first substrate has a first surface facing the liquid crystal layer, and the second substrate has a second surface facing the liquid crystal layer; and performing an irradiation process on the liquid crystal layer by using a photomask to polymerize the photopolymerization monomer, so as to form a first alignment layer with a first trench pattern on the first surface and a second alignment layer with a second trench pattern on the second surface.
    Type: Application
    Filed: August 22, 2014
    Publication date: December 11, 2014
    Inventors: Hung-Ming SHEN, Wan-Ling HUANG, Kai-Neng YANG, Tsau-Hua HSIEH
  • Patent number: 8906592
    Abstract: The invention relates to an antireflective coating composition comprising a crosslinkable polymer, where the crosslinkable polymer comprises at least one unit of fused aromatic moiety, at least one unit with a phenylene moiety in the backbone of the polymer, and at least one hydroxybiphenyl unit, furthermore where the polymer comprises a crosslinking moiety of structure (4), where R?3, R?3 and R??3 are independently hydrogen or a C1-C4alkyl. The invention further relates to a process for forming an image using the composition.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: December 9, 2014
    Assignee: AZ Electronic Materials (Luxembourg) S.A.R.L.
    Inventors: M. Dalil Rahman, Clement Anyadiegwu, Douglas McKenzie, JoonYeon Cho
  • Patent number: 8900800
    Abstract: A method for producing a GaNLED device, wherein a stack of layers comprising at least a GaN layer is texturized, is disclosed. The method involves (i) providing a substrate comprising on its surface said stack of layers, (ii) depositing a resist layer directly on said stack, (iii) positioning a mask above said resist layer, said mask covering one or more first portions of said resist layer and not covering one or more second portions of said resist layer, (iv) exposing said second portions of said resist layer to a light source, (v) removing the mask, and (vi) bringing the resist layer in contact with a developer comprising potassium, wherein said developer removes said resist portions that have been exposed and texturizes the surface of at least the top layer of said stack by wet etching said surface, in the areas situated underneath said resist portions that have been exposed.
    Type: Grant
    Filed: November 15, 2012
    Date of Patent: December 2, 2014
    Assignee: IMEC
    Inventors: Nga Phuong Pham, John Slabbekoorn, Deniz Sabuncuoglu Tezcan
  • Patent number: 8900801
    Abstract: A method is provided for producing a LED device, comprising a stack of layers comprising a light producing layer the light producing layer not being the top or bottom layer of the stack, wherein a layer at the top or bottom of the stack is subjected to a texturization aimed at enhancing the light extraction efficiency of the LED, wherein the texturization comprises the step of producing on the top or bottom surface a plurality of surface features, the surface features being arranged according to a pattern defined by starting from a regular pattern of features and subjecting each feature of the regular pattern to a deviation from the location in the regular pattern, the deviation being in a random direction and/or having a random amplitude. According to another embodiment, a random deviation is applied to one or more dimensions of the features in the regular pattern.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: December 2, 2014
    Assignee: IMEC
    Inventor: Maarten Rosmeulen
  • Publication number: 20140349219
    Abstract: According to embodiments, an exposure method is provided. In the exposure method, a transmittance of a pellicle is adjusted every position of a mask pattern included in a reflection type mask. And when adjusting the transmittance of the pellicle, a film thickness of the pellicle is adjusted on the basis of a transmittance correction amount. Thereafter, exposure is conducted onto a substrate by using the reflection type mask with the pellicle stuck thereon.
    Type: Application
    Filed: November 25, 2013
    Publication date: November 27, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hiroyuki MIZUNO, Yosuke Okamoto, Takeshi Koshiba, Satoshi Nagai
  • Publication number: 20140349224
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an actinic ray-sensitive or radiation-sensitive resin composition containing (A) a resin containing an acid-decomposable repeating unit and being capable of decreasing the solubility for an organic solvent-containing developer by the action of an acid, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a compound capable of decomposing by the action of an acid to generate an acid, and (D) a solvent; (2) a step of exposing the film by using an actinic ray or radiation, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Application
    Filed: August 5, 2014
    Publication date: November 27, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hiroo TAKIZAWA, Tomotaka TSUCHIMURA, Takeshi KAWABATA, Takuya TSURUTA
  • Patent number: 8889342
    Abstract: This disclosure relates to a polymer having the formula: wherein x is between 1 and about 100 an y is between about 99 and about 1, and x+Y=about 100. The disclosure also includes the use of the polymer in photovoltaic devices.
    Type: Grant
    Filed: February 6, 2014
    Date of Patent: November 18, 2014
    Assignee: Brookhaven Science Associates, LLC
    Inventors: Yang Qin, Robert B. Grubbs, Young Suk Park
  • Patent number: 8883397
    Abstract: A positive photosensitive siloxane composition containing: a polysiloxane (Ia), which is obtained by hydrolyzing and condensing the silane compound represented by RSi(OR1)3 in general formula (1) and the silane compound represented by Si(OR1)4 in general formula (2) in the presence of a basic catalyst, and a pre-baked film of which has a dissolution rate of 1,000 ?/second or less in a 5 wt % TMAH aqueous solution; a polysiloxane (Ib), which is obtained by hydrolyzing and condensing at least the silane compound represented by general formula (1) in the presence of an acid or basic catalyst, and a pre-baked film of which has a dissolution rate of 100 ?/second or more in a 2.38 wt % TMAH aqueous solution; and a diazonaphthoquinone derivative and solvent.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: November 11, 2014
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: Daishi Yokoyama, Takashi Fuke, Yuji Tashiro, Takashi Sekito, Toshiaki Nonaka
  • Publication number: 20140329180
    Abstract: A substrate for an organic light-emitting device which can improve the light extraction efficiency of an organic light-emitting device while realizing an intended level of transmittance, a method of fabricating the same, and an organic light-emitting device having the same. Light emitted from the OLED is emitted outward through the substrate. The substrate includes a substrate body and a number of crystallized particles disposed inside the substrate body, the number of crystallized particles forming a pattern inside the substrate body.
    Type: Application
    Filed: May 2, 2014
    Publication date: November 6, 2014
    Applicant: Samsung Corning Precision Materials Co., Ltd.
    Inventors: Kiyeon Lee, Jhee-Mann Kim, Youngseok Lee, Kyungmin Yoon, Jaeho Lee
  • Patent number: 8877427
    Abstract: A photosensitive resin composition contains a component (A) and at least one of a component (B) and a component (C). In addition, in the circuit board with metal support including: a metal support; a base insulating layer; a conductive layer formed of a wiring circuit pattern; and a cover insulating layer, at least one of the above-mentioned base insulating layer and cover insulating layer is made of the above-mentioned photosensitive resin composition. (A) a 1,4-dihydropyridine derivative represented by the following general formula (1) where R1 represents an alkyl group having 1 to 3 carbon atoms; and R2 and R3 each represent a hydrogen atom or an alkyl group having 1 or 2 carbon atoms and may be identical to or different from each other; (B) the following (x) and (y): (x) a carboxyl group-containing linear polymer; and (y) an epoxy resin (c) a linear polymer having a carboxyl group and an epoxy group.
    Type: Grant
    Filed: August 10, 2011
    Date of Patent: November 4, 2014
    Assignee: Nitto Denko Corporation
    Inventor: Masaki Mizutani
  • Patent number: 8859188
    Abstract: An immersion liquid is provided comprising an ion-forming component, e.g. an acid or a base, that has a relatively high vapor pressure. Also provided are lithography processes and lithography systems using the immersion liquid.
    Type: Grant
    Filed: February 6, 2006
    Date of Patent: October 14, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Hans Jansen, Marco Koert Stavenga, Jacobus Johannus Leonardus Hendricus Verspay, Franciscus Johannes Joseph Janssen, Anthonie Kuijper
  • Publication number: 20140302441
    Abstract: A method for manufacturing a magnetic sensor using an electrical lapping guide deposited and patterned simultaneously with a hard bias structure of the sensor material. The method includes depositing a sensor material, and patterning and ion milling the sensor material to define a track width of the sensor. A magnetic, hard bias material is then deposited and a second patterning and ion milling process is performed to simultaneously define the back edge of an electrical lapping guide and a back edge of the sensor.
    Type: Application
    Filed: June 20, 2014
    Publication date: October 9, 2014
    Applicant: HGST NETHERLANDS B.V.
    Inventors: Shin Funada, Quang Le, Jui-Lung Li
  • Patent number: 8852853
    Abstract: A photo-mask is capable of preventing stain defects and a method for fabricating a liquid crystal display device using the photo-mask which achieves the same capability. The photo-mask includes a transparent substrate configured to transmit ultraviolet light and a light shielding layer configured to block ultraviolet light on a surface of the transparent substrate. The light shielding layer includes an absorption layer configured to absorb ultraviolet light.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: October 7, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Ki Hoon Kim, Ji-Yong Park, Jin-Suk Park, Sunghyuk Kim, Min-Chang Kim, Kyung Hyun Choi
  • Publication number: 20140295353
    Abstract: A manufacturing method of a circuit structure is provided. A metal layer having an upper surface is provided. A surface passivation layer is formed on the metal layer. The surface passivation layer exposes a portion of the upper surface of the metal layer, and a material of the metal layer is different from a material of the surface passivation layer. A covering layer is formed on the surface passivation layer, and the covering layer covers the surface passivation layer.
    Type: Application
    Filed: June 16, 2014
    Publication date: October 2, 2014
    Applicant: Subtron Technology Co., Ltd.
    Inventor: Ching-Sheng Chen
  • Patent number: 8846301
    Abstract: An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO2 as the solvent so that the performance of the organic conductors and semiconductors would not be adversely affected by other aggressive solvent. One disclosed method may also utilize a fluorinated photoresist together with the HFE solvent, but other fluorinated solvents can be used. In one embodiment, the fluorinated photoresist is a resorcinarene, but various fluorinated polymer photoresists and fluorinated molecular glass photoresists can be used as well. For example, a copolymer perfluorodecyl methacrylate (FDMA) and 2-nitrobenzyl methacrylate (NBMA) is a suitable orthogonal fluorinated photoresist for use with fluorinated solvents and supercritical carbon dioxide in a photolithography process.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: September 30, 2014
    Assignee: Cornell University
    Inventors: Christopher K. Ober, George Malliaras, Jin-Kyun Lee, Alexander Zakhidov, Margarita Chatzichristidi, Priscilla Taylor
  • Publication number: 20140272678
    Abstract: The present disclosure provides an embodiment of a reflective mask that includes a substrate; a reflective multilayer formed on the substrate; a capping layer formed on the reflective multilayer and having a hardness greater than about 8; and an absorber layer formed on the capping layer and patterned according to an integrated circuit layout.
    Type: Application
    Filed: July 17, 2013
    Publication date: September 18, 2014
    Inventors: Chih-Tsung Shih, Shinn-Sheng Yu, Jeng-Horng Chen, Wei-Hung Liu, Chia-Chen Chen, Chi-Lun Lu, Anthony Yen
  • Publication number: 20140272717
    Abstract: A method of exposing a wafer substrate includes receiving an integrated circuit (IC) design layout defining a pattern; determining a temperature profile of a mask based on the IC design layout, the pattern being formed on the mask; calculating a pre-corrected overlay shift for the mask based on the calculated temperature profile; and exposing a resist layer coated on a substrate using the mask with overlay compensation based on the pre-corrected overlay shift.
    Type: Application
    Filed: October 17, 2013
    Publication date: September 18, 2014
    Inventors: Dong-Hsu Cheng, Chun-Jen Chen, Ming-Ho Tsai, Jim Liang, Yung-Hsiang Chen, Jun-Hua Chen
  • Publication number: 20140272716
    Abstract: A system and method for photoresists is provided. In an embodiment the photoresist is exposed in a photoresist track system and developed in an offline developing system. After the photoresist is exposed, the photoresist may be idled for a time period prior to being developed in the offline developing system.
    Type: Application
    Filed: May 31, 2013
    Publication date: September 18, 2014
    Inventors: Wei-Han Lai, Ching-Yu Chang
  • Publication number: 20140255851
    Abstract: A system and method for reducing defects in photoresist processing is provided. An embodiment comprises cleaning the photoresist after development using an alkaline environment. The alkaline environment may comprise a neutral solvent and an alkaline developer. The alkaline environment will modify the attraction between residue leftover from development and a surface of the photoresist such that the surfaces repel each other, making the removal of the residue easier. By removing more residue, there will be fewer defects in the photolithographic process.
    Type: Application
    Filed: March 8, 2013
    Publication date: September 11, 2014
    Inventors: Wen-Yun Wang, Ching-Yu Chang
  • Patent number: 8828650
    Abstract: A method for making a retarder includes: (a) forming a photocurable layer on a substrate, the photocurable layer including at least one photocurable prepolymer that has a plurality of reactive functional groups and a functional group equivalent weight ranging from 70 to 700 g/mol; (b) covering partially the photocurable layer using a patterned mask; (c) exposing the photocurable layer through the patterned mask; (d) removing the patterned mask; (e) exposing the photocurable layer to cure second regions of the photocurable layer so as to form a microstructure; (f) forming an alignment layer on the microstructure; (g) forming a liquid crystal layer on the alignment layer; and (h) curing the liquid crystal layer.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: September 9, 2014
    Assignee: Far Eastern New Century Corporation
    Inventors: Da-Ren Chiou, Wei-Che Hung, Chiu-Fang Chen, Yu-June Wu
  • Patent number: 8828649
    Abstract: A method of patterning a thin film, comprising: depositing an intermediate, radiation sensitive, layer on a substrate; depositing the thin film on the intermediate layer, before or after deposition of the thin film: exposing the intermediate layer to patterned radiation in order to initiate a chemical reaction therein; and removing patterned radiation-defined parts of the intermediate layer and corresponding thin film, to leave patterned thin film and patterned intermediate layer on the substrate.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: September 9, 2014
    Assignee: Imperial Innovations Limited
    Inventors: Donal Bradley, John De Mello, Jingsong Huang
  • Patent number: 8832607
    Abstract: According to one embodiment, a method for making a correction map of a dose amount of EUV light used when exposing with the EUV light, includes estimating an exposure result based on an initial correction map of the dose amount and flare of the EUV light, determining a goodness of the exposure result, and correcting the initial correction map in the case where the exposure result is unacceptable. And, the correcting of the initial correction map, the estimating of the exposure result, and the determining of the goodness are repeated until the exposure result is good.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: September 9, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takashi Koike, Hiroyuki Mizuno, Yosuke Okamoto
  • Publication number: 20140248564
    Abstract: The present invention relates to a polymer composition comprising the following components: a) 76,6-99,9 mass % of aromatic polycarbonate, b) 0,5-20 mass % of laser direct structuring additive, c) 0-2,4 mass % of rubber like polymer, and d) 0,01-1 mass % of acid and/or acid salt wherein the mass % is calculated relative to the sum of a), b), c) and d). The invention also relates to a moulded part containing this composition, to a circuit carrier containing such moulded part and to a process for producing such circuit carrier.
    Type: Application
    Filed: May 9, 2014
    Publication date: September 4, 2014
    Applicant: MITSUBISHI CHEMICAL EUROPE GMBH
    Inventor: Bernardus Antonius Gerardus SCHRAUWEN
  • Publication number: 20140242499
    Abstract: According to one embodiment, a light-reflective photomask including a circuit pattern area, and an outside area positioned outside the circuit pattern area includes a substrate, a low-reflectivity layer provided in both the circuit pattern area, and the outside area, formed on the substrate, including at least a conductive layer, and comprising a first reflectivity for deep ultraviolet light, a multilayer reflection layer provided in the circuit pattern area, and formed on the low-reflectivity layer, and a light-absorber provided in the circuit pattern area, formed on the multilayer reflection layer, including a circuit pattern, and comprising a second reflectivity for deep ultraviolet light. The first reflectivity is lower than or equal to the second reflectivity.
    Type: Application
    Filed: September 9, 2013
    Publication date: August 28, 2014
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Kosuke TAKAI
  • Patent number: 8815473
    Abstract: Techniques for reducing the number of shots required by a radiation beam writing tool to write a pattern, such as fractured layout design, onto a substrate. One or more apertures are employed by a radiation beam writing tool to write a desired pattern onto a substrate using L-shaped images, T-shaped images, or some combination of both. By reducing the number of shots required to write a pattern onto a substrate, various implementations of the invention may reduce the write time and/or write complexity of the write process.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: August 26, 2014
    Inventors: Emile Y. Sahouria, Steffen F. Schulze
  • Patent number: 8802566
    Abstract: A method for producing semiconductor components on a substrate including photolithographic patterning steps, in which method, on the substrate, a first layer to be patterned is applied and a second layer serving as a mask layer for the first layer to be patterned is applied, wherein a third layer serving as a mask for the second layer is applied, and wherein at least two photolithographic patterning processes are carried out successively for the second layer, wherein, during one of the patterning processes, after the production of a structure made from a photosensitive layer for the provision of a mask layer for a patterning process at the third layer, positive ramp angles ? are produced at the patterning edges of the third layer, as a result of which the structures remaining free, given a thickness h of the third layer, decrease in size by a value D=2*h/tan ?.
    Type: Grant
    Filed: August 24, 2012
    Date of Patent: August 12, 2014
    Assignee: Espros Photonics AG
    Inventors: Martin Popp, Beat De Coi, Marco Annese
  • Publication number: 20140220493
    Abstract: A method for using self aligned multiple patterning with multiple resist layers includes forming a first patterned resist layer onto a substrate, forming a spacer layer on top of the first patterned resist layer such that spacer forms on side walls of features of the first resist layer, and forming a second patterned resist layer over the spacer layer and depositing a masking layer. The method further includes performing a planarizing process to expose the first patterned resist layer, removing the first resist layer, removing the second resist layer, and exposing the substrate.
    Type: Application
    Filed: February 1, 2013
    Publication date: August 7, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ken-Hsien Hsieh, Shih-Ming Chang, Chih-Ming Lai, Ru-Gun Liu
  • Patent number: 8796645
    Abstract: An exposure apparatus for a photoalignment process includes; a first photomask including a plurality of transmission parts; and a second photomask including a plurality of transmission parts, where the first photomask and the second photomask partially overlap each other such that each of the first photomask and the second photomask includes an overlapping region and a non-overlapping region, the overlapping region of at least one of the first photomask and the overlapping region of the second photomask includes at least two subregions, and shapes or arrangements of the transmission parts in the at least two subregions are different from each other.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: August 5, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Soo-Ryun Cho, Jun Woo Lee, Kyoung Tae Kim, Joo Seok Yeom, Suk Hoon Kang, Eun Ju Kim
  • Publication number: 20140212817
    Abstract: A method of calculating an overlay correction model in a unit for the fabrication of a wafer is disclosed. The method comprises measuring overlay deviations of a subset of first overlay marks and second overlay marks by determining the differences between the subset of first overlay marks generated in the first layer and corresponding ones of the subset of second overlay marks generated in the second layer.
    Type: Application
    Filed: January 25, 2013
    Publication date: July 31, 2014
    Inventor: Boris Habets
  • Publication number: 20140210057
    Abstract: A method comprises dispensing a first solvent on a semiconductor substrate; dispensing a first layer of a high-viscosity polymer on the first solvent; dispensing a second solvent on the first layer of high-viscosity polymer; and spinning the semiconductor substrate after dispensing the second solvent, so as to spread the high-viscosity polymer to a periphery of the semiconductor substrate.
    Type: Application
    Filed: January 29, 2013
    Publication date: July 31, 2014
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Chen Lin, Ching-Hsin Chang, Chia-Hung Chu, Hu-Wei Lin, Chih-Hsien Hsu, Hong-Hsing Chou
  • Patent number: 8790859
    Abstract: The present invention relates to a photoresist composition for digital exposure and a method of fabricating a thin film transistor substrate. The photoresist composition for digital exposure includes a binder resin including a novolak resin and a compound represented by the chemical formula (1), a photosensitizer including a diazide-based compound, and a solvent: wherein R1-R9 each include a hydrogen atom, an alkyl group, or a benzyl group, a is an integer from 0 to 10, b is an integer from 0 to 100, and c is an integer from 0 to 10.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: July 29, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Hyun Yun, Woo-Seok Jeon, Jung-In Park, Hi-Kuk Lee, Byung-Uk Kim, Dong-Min Kim, Seung-Ki Kim, Ja-Hun Byeon
  • Patent number: 8790865
    Abstract: The present disclosure relates to a method of fabricating a capacitive touch pane where a plurality of groups of first conductive patterns are formed along a first direction, a plurality of groups of second conductive patterns are formed along a second direction, and a plurality of connection components are formed on a substrate. Each first conductive pattern is electrically connected to another adjacent first conductive pattern in the same group by each connection component and each group of the second conductive patterns is interlaced with and insulated from each group of the first conductive patterns. Next, a plurality of curved insulation mounds are formed to cover the first connection components. Then, a plurality of bridge components are formed to electrically connect each second conductive pattern with another adjacent second conductive pattern in the same group.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: July 29, 2014
    Assignees: Innocom Technology (Shenzhen) Co., Ltd., Innolux Corporation
    Inventors: Chao-Sung Li, Lien-Hsin Lee, Kai Meng
  • Publication number: 20140205952
    Abstract: Methods of forming a pattern in a semiconductor device structure include deprotecting an outer portion of a first photosensitive resist material, forming a second photosensitive resist material, exposing portions of the first and second photosensitive resist materials to radiation, and removing the deprotected outer portion of the first photosensitive resist material and the exposed portions of the first and second photosensitive resist materials. Additional methods include forming a first resist material over a substrate to include a first portion and a relatively thicker second portion, deprotecting substantially the entire first portion and an outer portion of the second portion while leaving an inner portion of the second portion protected, and forming a second resist material over the substrate. A portion of the second resist material is exposed to radiation, and deprotected and exposed portions of the first and second resist materials are removed.
    Type: Application
    Filed: January 22, 2013
    Publication date: July 24, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Scott Light, Yuan He, Michael A. Many, Michael Hyatt
  • Publication number: 20140205937
    Abstract: A mask blank is used for manufacturing a binary mask adapted to be applied with ArF excimer laser exposure light and has a light-shielding film for forming a transfer pattern on a transparent substrate. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for the exposure light. The lower layer is made of a material containing tantalum and nitrogen and has a thickness of 33 nm or more. The upper layer is made of a material containing tantalum and oxygen and has a thickness of 3 nm or more. The phase difference between the exposure light transmitted through the light-shielding film and the exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 60 degrees or less.
    Type: Application
    Filed: March 24, 2014
    Publication date: July 24, 2014
    Applicant: HOYA CORPORATION
    Inventors: Noriyuki Sakaya, Osamu Nozawa
  • Publication number: 20140205954
    Abstract: A method for forming patterns of a semiconductor device includes providing a photomask that includes an array of contact holes in an active region, a plurality of first dummy contact holes for restricting pattern distortion of the contact holes in an area outside of the array of the contact holes, a plurality of first assist features for restricting pattern distortion of the first dummy contact holes disposed inside a corresponding one of the first dummy contact holes, and an array of second assist features for additionally restricting pattern distortion of the first dummy contact holes. The array of second assist features is disposed outside of the first dummy contact holes. The method also includes forming an array of contact holes and first dummy contact holes on a wafer by using the photomask as an exposure mask.
    Type: Application
    Filed: March 25, 2014
    Publication date: July 24, 2014
    Applicant: SK hynix Inc.
    Inventor: Jeon Kyu Lee
  • Publication number: 20140199617
    Abstract: A pattern-forming method includes in this order: step (1) of forming a film with an electron beam-sensitive or extreme ultraviolet radiation-sensitive resin composition that contains (A) a resin having an acid-decomposable repeating unit and capable of decreasing a solubility of the resin (A) in a developer containing an organic solvent by an action of an acid and (B) a low molecular weight compound capable of generating an acid upon irradiation with an electron beam or extreme ultraviolet radiation and decomposing by an action of an acid to decrease a solubility of the low molecular weight compound (B) in an organic solvent; step (2) of exposing the film with an electron beam or extreme ultraviolet radiation; and step (4) of developing the film with a developer containing an organic solvent after the exposing to form a negative pattern.
    Type: Application
    Filed: March 27, 2014
    Publication date: July 17, 2014
    Applicant: FUJIFILM CORPORATION
    Inventors: Hideaki TSUBAKI, Hiroo TAKIZAWA, Takeshi KAWABATA
  • Patent number: 8778573
    Abstract: The present invention provides a manufacturing method of transparent electrode and mask thereof. The method includes: forming a film on a glass substrate, and coating photo-resist on film; irradiating photo-resist through mask, wherein the mask at corresponding active area of liquid crystal panel forming, from outer area to inner area, at least a first area and a second area, gap of pattern corresponding to transparent electrode in first area being first gap, gap of pattern in second area being second gap, first gap being greater than corresponding default gap, difference between first gap and corresponding default gap being greater than difference between second gap and corresponding default gap: and performing photolithography and etching processes on substrate after exposure to form transparent electrodes on substrate. As such, the present invention can reduce gap errors of formed transparent electrodes in entire active area to improve display effect.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: July 15, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology co., Ltd.
    Inventors: Cheng-hung Chen, Zui Wang
  • Publication number: 20140192400
    Abstract: High display duty ratio and fast optical response electrophoretic display technology is presented by using an anti-ferroelectric coupling torque. The invention also consequently enables fine pixel pitch resolution by introducing photo lithography based switching element preparation method. Newly introduced casting film method for optical switching element preparation enables extremely fine size of switching element based on current well-established flat panel display volume manufacturing process.
    Type: Application
    Filed: January 8, 2013
    Publication date: July 10, 2014
    Applicant: INVENT RESEARCH LLC
    Inventors: Akihiro MOCHIZUKI, Madis-Marius VAHTRE, Laura PAIT
  • Publication number: 20140193755
    Abstract: An electrical field is applied through an extreme ultraviolet (EUV) photoresist layer along a direction perpendicular to an interface between the EUV photoresist layer and an underlying layer. Secondary electrons and thermal electrons are accelerated along the direction of the electrical field, and travel with directionality before interacting with the photoresist material for a chemical reaction. The directionality increases the efficiency of electron photoacid capture, reducing the required EUV dose for exposure. Furthermore, this directionality reduces lateral diffusion of the secondary and thermal electrons, and thereby reduces blurring of the image and improves the image resolution of feature edges formed in the EUV photoresist layer. The electrical field may be generated by applying a direct current (DC) and/or alternating current (AC) bias voltage across an electrostatic chuck and a conductive plate placed over the EUV photoresist layer with a hole for passing the EUV radiation through.
    Type: Application
    Filed: January 7, 2013
    Publication date: July 10, 2014
    Applicant: International Business Machines Corporation
    Inventors: Richard S. Wise, Daniel A. Corliss
  • Publication number: 20140183701
    Abstract: A hardmask composition includes a monomer represented by the following Chemical Formula 1 and an aromatic ring-containing polymer,
    Type: Application
    Filed: September 26, 2013
    Publication date: July 3, 2014
    Inventors: Yoo-Jeong CHOI, Yun-Jun KIM, Joon-Young MOON, Bum-Jin LEE, Chung-Heon LEE, Youn-Jin CHO
  • Publication number: 20140186591
    Abstract: A device is provided. The device may comprise an integrated circuit package. The integrated circuit package may comprise a first layer and a solder mask. The first layer may comprise a top surface wherein the solder mask is disposed on the top surface of the first layer. The solder mask may comprise a vertical edge. The vertical edge may form an angle between the top surface of the first layer and the vertical edge of not less than 90 degrees. The angle may be not less than 120 degrees or not less than 150 degrees.
    Type: Application
    Filed: January 3, 2013
    Publication date: July 3, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih-Horng Chang, Sheng-Yu Wu, Pei-Chun Tsai, Tin-Hao Kuo, Chen-Shien Chen
  • Publication number: 20140174809
    Abstract: Disclosed herein is a circuit board including: a core layer including a via hole; a metal film covering an inner wall of the via hole; a circuit pattern connected to the metal film on the core layer; and a plug surrounded by the metal film in the via hole and having a thickness thinner than a thickness of the core layer.
    Type: Application
    Filed: March 14, 2013
    Publication date: June 26, 2014
    Applicant: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Seung Wook PARK, Jae Kul Lee, Jin Gu Kim, Chang Bae Lee
  • Publication number: 20140178803
    Abstract: A composite mask suitable for multiple-patterning lithographic processes and a multiple-patterning photolithographic process utilizing the mask are disclosed. An exemplary embodiment includes receiving a mask having a plurality of sub-reticles and a substrate having one or more regions. A first sub-reticle of the plurality of sub-reticles is aligned with a first region of the one or more regions. A movement pattern is designated relative to the substrate. A first photolithographic process is performed including exposing the substrate using the mask to form a first exposed area on the substrate. An alignment of the mask relative to the substrate is shifted according to a first direction determined by the movement pattern. A second photolithographic process is performed including exposing the substrate using the mask to form a second exposed area on the substrate such that the second exposed area overlaps the first.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chue San Yoo, Yung-Cheng Chen, Li-Wei Kung, Chang-Jyh Hsieh
  • Patent number: 8758981
    Abstract: A photoresist underlayer composition includes a solvent, and a polysiloxane resin represented by Chemical Formula 1: {(SiO1.5—Y—SiO1.5)x(SiO2)y(XSiO1.5)z}(OH)e(OR1)f.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: June 24, 2014
    Assignee: Cheil Industries, Inc.
    Inventors: Mi-Young Kim, Sang-Kyun Kim, Hyeon-Mo Cho, Chang-Soo Woo, Sang-Ran Koh, Hui-Chan Yun, Woo-Jin Lee, Jong-Seob Kim
  • Patent number: 8758986
    Abstract: A method produces an undercoat-covered smoothed printed wiring board, requiring no step of polishing the surface of the printed wiring board. A method for producing a solder-resist-covered printed wiring board causes no depression in an area between circuit traces. A printed wiring board so produced is also described. The method for producing a printed wiring board includes applying a photo- and heat-curable resin composition onto at least a part of a surface of a printed wiring substrate; placing an optically transparent smoothing member on the resin layer; moving a hard roller on the smoothing member to thin the applied resin layer to a thickness of interest; placing a negative-image mask on the smoothing member; exposing the applied resin layer to light via the negative-image mask; removing the optically transparent smoothing member; removing a light-unexposed portion of the applied resin layer through development; and completely heat-curing the cured light-exposed portion.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 24, 2014
    Assignee: San-Ei Kagaku Co., Ltd.
    Inventors: Takeshi Saito, Kazunori Kitamura, Yukihiro Koga
  • Patent number: 8758974
    Abstract: A method is described for the direct photochemical modification and micro-patterning of polymer surfaces, without the need to use a photoresist. For example, micropatterns of various functional chemical groups, biomolecules, and metal films have been deposited on poly(carbonate) and poly(methyl methacrylate) surfaces. These patterns may be used, for example, in integrated electronics, capture elements, or sensing elements in micro-fluidic channels.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: June 24, 2014
    Assignee: Board of Supervisors of Louisiana State University And Agricultural and Mechanical College
    Inventors: Steven A. Soper, Robin L. McCarley, Bikas Vaidya
  • Publication number: 20140170567
    Abstract: There is provided a resist underlayer film composition for EUV lithography that is used in a device production process using EUV lithography, reduces adverse effects of EUV, and is effective for obtaining a good resist pattern, and to a method for forming a resist pattern that uses the resist underlayer film composition for EUV lithography. A resist underlayer film-forming composition for EUV lithography, including: a polymer having a repeating unit structure of formula (1): [where each of A1, A2, A3, A4, A5, and A6 is a hydrogen atom, a methyl group, or an ethyl group; X1 is formula (2), formula (3), formula (4), or formula (0): Q is formula (5) or formula (6): and a solvent. A resist underlayer film-forming composition for EUV lithography, comprising: the polymer having the repeating unit structure of formula (1); a crosslinkable compound; and a solvent.
    Type: Application
    Filed: July 31, 2012
    Publication date: June 19, 2014
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Ryuji Ohnishi, Bangching Ho