Including Etching Substrate Patents (Class 430/323)
  • Patent number: 8178284
    Abstract: A method of forming a pattern including: forming an underlayer film on a support using an underlayer film-forming material, forming a hard mask on the underlayer film using a silicon-based hard mask-forming material, forming a first resist film by applying a chemically amplified positive resist composition to the hard mask, forming a first resist pattern by selectively exposing the first resist film through a first mask pattern and then performing developing, forming a first pattern by etching the hard mask using the first resist pattern as a mask, forming a second resist film by applying a chemically amplified positive silicon-based resist composition to the first pattern and the underlayer film, forming a second resist pattern by selectively exposing the second resist film through a second mask pattern and then performing developing, and forming a second pattern by etching the underlayer film using the first pattern and the second resist pattern as a mask.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: May 15, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shinichi Kohno, Hisanobu Harada
  • Patent number: 8178287
    Abstract: A resist material utilized in photolithography patterning includes a first material, and a second material dispersed in the first material. The second material is capable of diffusing to a top surface of the resist material, and has an etch rate different from that of the first material.
    Type: Grant
    Filed: February 21, 2007
    Date of Patent: May 15, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 8180141
    Abstract: A system for wafer repair, comprising an inspection tool being capable of extracting a wafer image of a semiconductor wafer; a direct-writing tool being capable of locally exposing the semiconductor wafer; and an information processing module configured to compare the wafer image with a reference image and generate data of locations and patterns of defective regions and communicate the data of locations and patterns of defective regions to the direct-writing tool, wherein the reference image comprises a pattern consisting of a scanned image of another die having no defective region.
    Type: Grant
    Filed: November 2, 2007
    Date of Patent: May 15, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Hsiang Lin, Burn Jeng Lin, Tsai-Shen Gau
  • Publication number: 20120107742
    Abstract: The present disclosure provides a resist utilized in a photolithography patterning process. The resist includes a polymeric material having a plurality of zipper molecules, each including a first zipper portion and a second zipper portion, wherein the first and second zipper portions each include a plurality of zipper branches bonded together in pairs and cleavable to one of thermal energy, radiation energy, and chemical reaction.
    Type: Application
    Filed: November 1, 2010
    Publication date: May 3, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Fong-Cheng Lee, Ching-Yu Chang
  • Patent number: 8168372
    Abstract: Novel, developer-soluble, hard mask compositions and methods of using those compositions to form microelectronic structures are provided. The composition comprises the compound a compound for controlling development rate, and a crosslinking agent in a solvent system. The methods involve applying the composition to a substrate and curing the composition. An imaging layer is applied to the composition, followed by light exposure and developing, during which the light-exposed portions of the imaging layer are removed, along with portions of the hard mask composition adjacent said light-exposed portions. The size of the hard mask composition structures are controlled by the development rate, and they yield feature sizes that are a fraction of the imaging layer feature sizes, to give a pattern that can ultimately be transferred to the substrate.
    Type: Grant
    Filed: September 20, 2007
    Date of Patent: May 1, 2012
    Assignee: Brewer Science Inc.
    Inventor: Sam X. Sun
  • Patent number: 8153349
    Abstract: A polymer composition includes an aromatic ring-containing polymer represented by Formula 1: wherein m and n satisfy the relations 1?m<190, 0?n<190, and 1?m+n<190.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: April 10, 2012
    Assignee: Cheil Industries, Inc.
    Inventors: Hwan Sung Cheon, Jong Seob Kim, Kyong Ho Yoon, Min Soo Kim, Jin Kuk Lee, Jee Yun Song
  • Patent number: 8155427
    Abstract: A system for the long-term storage and high-speed retrieval of images stored on silicon wafers. The images are stored by utilizing semiconductor fabrication techniques. These images are organized and managed using metadata in the form of a barcode. Each barcode is a unique identifier that contains the location information for each specific image on the silicon wafer substrate. The system further provides an identifier in an electronic database that references the appropriate barcode and describes the contents of the image. The images and barcodes are transferred to specific predetermined locations on the silicon wafer. The stored images are retrieved by use of a software program that searches for a user's queries in the electronic database and outputs the specific barcode to the image reader. The image reader translates the barcode information for the desired image and drives the optics or the silicon wafer to the appropriate location.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: April 10, 2012
    Assignee: Nanoark Corporation
    Inventor: Ajay Pasupuleti
  • Publication number: 20120083124
    Abstract: A lithography mask includes a plurality of patterning features formed on a mask substrate and a first plurality of sub-resolution assist features (SRAFs) formed substantially perpendicular to the patterning features on the mask substrate.
    Type: Application
    Filed: October 4, 2010
    Publication date: April 5, 2012
    Applicant: SanDisk Corporation
    Inventors: Chen-Che Huang, Chun-Ming Wang, Masaaki Higashitani
  • Patent number: 8148036
    Abstract: A photomask blank comprises a transparent substrate, a light-shielding film of an optionally transition metal-containing silicon material, and an etching mask film of a chromium compound base material. The etching mask film consists of multiple layers of different composition which are deposited by reactive sputtering, the multiple layers including, in combination, a first layer of a material which imparts a compression stress when deposited on the substrate as a single composition layer and a second layer of a material which imparts a tensile stress when deposited on the substrate as a single composition layer.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: April 3, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yukio Inazuki, Hideo Kaneko, Hiroki Yoshikawa
  • Publication number: 20120077124
    Abstract: A resist lower layer film-forming composition includes (A) a polymer that includes a cyclic carbonate structure. The polymer (A) includes a structural unit (I) shown by the following formula (1).
    Type: Application
    Filed: September 29, 2011
    Publication date: March 29, 2012
    Applicant: JSR Corporation
    Inventors: Kazuo Nakahara, Tomoki Nagai
  • Patent number: 8137902
    Abstract: A method of manufacturing parts of a first material able to be etched from a substrate including at least one superficial layer of the first material, includes (a) forming a substantially uniform superficial layer of a second material at the surface of the superficial layer of the first material, wherein the second material resists a selective etch of the first material, (b) forming a bead of second material at the periphery of the superficial layer of second material, (c) structuring the layer of second material and the bead by a photolithographic process including an etch step of sufficient duration to etch the superficial layer of second material over the entire thickness thereof, but insufficient to etch the bead over the entire thickness thereof, so as to obtain a mask, and (d) cutting out parts made of the first material through the mask of the second material, by directional etching.
    Type: Grant
    Filed: July 3, 2008
    Date of Patent: March 20, 2012
    Assignee: EM Microelectronic-Marin S.A.
    Inventors: Yvan Gonin, Vittorio Emilio Marsico
  • Patent number: 8137874
    Abstract: An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer.
    Type: Grant
    Filed: January 23, 2008
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Dario L. Goldfarb, Libor Vyklicky, Sean D. Burns, David R. Medeiros, Daniel P. Sanders, Robert D. Allen
  • Publication number: 20120064455
    Abstract: A photoresist composition and method of forming a pattern using the same are provided. The photoresist composition includes a 60 to 90 wt % novolac resin, a diazide compound, an organic solvent, and an anticorrosive agent.
    Type: Application
    Filed: July 8, 2011
    Publication date: March 15, 2012
    Applicant: SAMSUNG TECHWIN CO., LTD.
    Inventors: Dong-Guk YU, Ki-Soo KIM, Hyun-Tae LIM
  • Patent number: 8133659
    Abstract: This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: March 13, 2012
    Assignee: Brewer Science Inc.
    Inventors: Sam X. Sun, Hao Xu, Tony D. Flaim
  • Patent number: 8133662
    Abstract: A method for manufacturing a liquid discharge head that includes a flow path wall member which forms a wall of a flow path communicating with a discharge port for discharging a liquid and a substrate which forms the flow path in contact with the flow path wall member includes providing a first layer, which is made of a photosensitive resin on the substrate, for forming a pattern having a shape of the flow path, providing a second layer which is capable of absorbing light within a photosensitive wavelength range of the photosensitive resin and has a shape corresponding to the shape of the flow path, on the first layer so as to come into contact with the first layer, performing patterning of the first layer which includes exposure of the first layer with the light using the second layer as a mask, and forming the pattern from the first layer, providing a cover layer which is made of a photosensitive resin and serves as the flow path wall member so as to cover the second layer and the pattern, forming the discha
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: March 13, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Makoto Watanabe, Yoshinori Tagawa, Satoshi Ibe, Kazuhiro Asai, Masaki Ohsumi, Masataka Nagai
  • Publication number: 20120052438
    Abstract: A photoresist composition and method of forming pattern using the same are provided. The photoresist composition contains an alkali-soluble novolac resin, a photosensitizer including a compound of Chemical Formula 1, and a solvent.
    Type: Application
    Filed: May 26, 2011
    Publication date: March 1, 2012
    Inventors: Cha-Dong KIM, Sang-Hyun Yun, Jung-In Park, Hi-Kuk Lee, Deok-Man Kang, Youn-Suk Kim, Sae-Tae Oh
  • Publication number: 20120038021
    Abstract: Methods and apparatuses for alignment are disclosed. An exemplary method includes providing a substrate having a device region and an alignment region; forming a first material layer over the substrate; forming a device feature and a dummy feature in the first material layer, wherein the device feature is formed in the device region and the dummy feature is formed in the alignment region; forming a second material layer over the first material layer; and forming an alignment feature in the second material layer, the alignment feature being disposed over the dummy feature in the alignment region.
    Type: Application
    Filed: August 11, 2010
    Publication date: February 16, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Meng-Wei Chen, Chi-Chuang Lee, Chung-Hsien Lin
  • Patent number: 8110344
    Abstract: A metal photoetching product comprising at least one large cavity of minor axis W1S, major axis W1L and depth D1 in a surface of the product, wherein one or more cavities are included inside at least one of the at least one large cavity, and a smallest hole among the cavities has minor axis of W2S, major axis W2L, and depth D2; and the product satisfies the following dimensions, D1+D2=plate thickness D, 0.02 mm?D?2 mm, 0.4×D<W1S<D, and 0.2×D<W2S<0.8×D.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: February 7, 2012
    Assignee: Toppan Printing Co., Ltd.
    Inventors: Ryuji Ueda, Satoshi Tanaka, Osamu Koga, Fusao Takagi, Hiroshi Matsuzawa, Yusuke Onoda, Shingo Akao
  • Patent number: 8110321
    Abstract: A method for manufacturing an optical projection reticle employs a damascene process. First feature recesses are etched into a projection reticle mask plate which is transmissive or transparent. Then feature recesses are tilled with a radiation transmissivity modifying material comprising a partially transmissive material and/or a radiation absorber for absorbing actinic radiation. Sacrificial materials may be added to the recess temporarily prior to filling the recess to provide gaps juxtaposed with the material filling the recess. Thereafter, the sacrificial materials are removed. Then the projection mask is planarized leaving feature recesses filled with transmissivity modifying material, and any gaps desired. The projection mask is planarized while retained in a fixture holding it in place during polishing with a polishing tool and a slurry.
    Type: Grant
    Filed: May 16, 2007
    Date of Patent: February 7, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kevin S. Petrarca, Donald F. Canaperi, Mahadevaiyer Krishnan, Rebecca D. Mih, Steven Steen, Henry Grabarz, Michael S. Hibbs
  • Patent number: 8110322
    Abstract: The invention provides a method for forming a selective mask on a surface of a layer of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z (0?X?1, 0?Y?1, 0?Z?1), which is a method for forming a mask with a minute width suitable for microfabrication in nano-order. (1) An energy beam 4a, 4b is selectively irradiated onto a natural oxide layer 2 formed on the surface of the layer 1 of AlXGaYIn1-X-YAsZP1-Z or AlXGaYIn1-X-YNZAs1-Z. (2) Of the natural oxide layer 2, parts other than parts onto which the energy beam 4a, 4b has been irradiated is removed by heating. (3) The natural oxide layer 2 of the parts onto which the energy beam 4a, 4b has been irradiated is partially removed by heating while alternatively carrying out a rise and fall in heating temperature.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: February 7, 2012
    Assignee: Riber
    Inventors: Naokatsu Sano, Tadaaki Kaneko
  • Publication number: 20120028195
    Abstract: The present invention relates to an aqueous composition for coating over a photoresist pattern comprising a first water soluble compound comprising at least a silicon moiety and at least one amino group, and a second compound comprising at least 1 carboxylic acid group. The invention further relates to processes for using the novel invention.
    Type: Application
    Filed: July 28, 2010
    Publication date: February 2, 2012
    Inventors: Hengpeng Wu, Meng Li, Yi Cao, Jian Yin, DongKwan Lee, SungEun Hong, Margareta Paunescu
  • Patent number: 8105764
    Abstract: A pattern is formed through positive/negative reversal by coating a chemically amplified positive resist composition comprising an acid labile group-bearing resin, a photoacid generator, and an organic solvent onto a substrate, prebaking the resist composition, exposing the resist film to high-energy radiation, post-exposure heating, and developing the exposed resist film with an alkaline developer to form a positive pattern; irradiating or heating the positive pattern to facilitate elimination of acid labile groups and crosslinking for improving alkali solubility and imparting solvent resistance; coating a reversal film-forming composition thereon to form a reversal film; and applying an alkaline wet etchant thereto for dissolving away the positive pattern.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: January 31, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Toshinobu Ishihara
  • Patent number: 8105753
    Abstract: A pattern clean-up for fabrication of patterned media using a forced assembly of molecules is disclosed. E-beam lithography is initially used to write the initial patterned bit media structures, which have size and positioning errors. Nano-sized protein molecules are then forced to assemble of on top of the bits. The protein molecules have a very uniform size distribution and assemble into a lattice structure above the e-beam patterned areas. The protein molecules reduce the size and position errors in e-beam patterned structures. This process cleans the signal from the e-beam lithography and lowers the noise in the magnetic reading and writing. This process may be used to fabricate patterned bit media directly on hard disk, or to create a nano-imprint master for mass production of patterned bit media disks.
    Type: Grant
    Filed: November 28, 2007
    Date of Patent: January 31, 2012
    Assignee: Hitachi Global Storage Technologies Netherlands B.V.
    Inventors: Qing Dai, Dan Saylor Kercher, Huey-Ming Tzeng
  • Patent number: 8105754
    Abstract: A method for electron beam nanolithography without the need for development step involves depositing a film of a resist comprising functionalized fullerenes on a substrate, and writing features by exposure to an electron beam with an accelerating voltage and dose rate sufficient to promote heating or thermal degradation of the functionalized fullerene in the irradiated volume such that a pattern is generated without a subsequent development step or with an aqueous developer. Lithographic features of about 1 nm or greater can be formed.
    Type: Grant
    Filed: January 5, 2009
    Date of Patent: January 31, 2012
    Assignee: University of Florida Research Foundation, Inc.
    Inventors: Amit Kumar Singh, Vijay Krishna, Brij M. Moudgil, Benjamin L. Koopman
  • Patent number: 8101341
    Abstract: A pattern is formed by coating a chemically amplified positive resist composition comprising a resin comprising acid labile group-containing recurring units and a photoacid generator onto a substrate, drying to form a resist film, exposing the resist film to high-energy radiation, PEB, developing to form a positive pattern, illuminating or heating the positive pattern to eliminate acid labile groups for increasing alkaline solubility and to induce crosslinking for imparting solvent resistance, coating a reversal film, forming a space pattern, and shrinking the space pattern.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: January 24, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama
  • Patent number: 8097401
    Abstract: A composition of matter. The composition of matter includes a polymer having an ethylenic backbone and comprising a first monomer having an aromatic moiety, a second monomer having a base soluble moiety or an acid labile protected base soluble moiety, and a third monomer having a fluoroalkyl moiety. Also a photoresist formulation including the composition of matter and a method of imaging using the photoresist formulation including the composition of matter.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: January 17, 2012
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Irene Popova, Pushkara Rao Varanasi, Libor Vyklicky
  • Publication number: 20120003436
    Abstract: A photosensitive composition contains (A) a hollow or porous particle, (B) a compound capable of generating an active species upon irradiation with an actinic ray or radiation, and (C) a compound capable of changing in the solubility for an alkali developer by the action of the active species.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 5, 2012
    Applicant: FUJIFILM CORPORATION
    Inventors: Toshiyuki SAIE, Kenji WADA, Masaomi MAKINO, Hisamitsu TOMEBA, Mitsuji YOSHIBAYASHI
  • Patent number: 8088564
    Abstract: Base soluble polymer comprising at least one sulfonyl group where at least one carbon atom at ?-position and/or ?-position and/or ?-position with respect to the sulfonyl group has a hydroxyl group, where the hydroxyl group is protected or unprotected are described.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: January 3, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan
  • Publication number: 20110311920
    Abstract: A naphthalene derivative having formula (1) is provided wherein cyclic structures Ar1 and Ar2 denote a benzene or naphthalene ring, X is a single bond or C1-C10 alkylene, m is 0 or 1, and n is such a natural number as to provide a molecular weight of up to 100,000. A material comprising the naphthalene derivative or a polymer comprising the naphthalene derivative is spin coated to form a resist bottom layer having improved properties. A pattern forming process in which a resist bottom layer formed by spin coating is combined with an inorganic hard mask formed by CVD is available.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 22, 2011
    Applicant: SHIN-ETSU CHEMICAL CO., LTD
    Inventors: Takeshi Kinsho, Katsuya Takemura, Daisuke Kori, Takeru Watanabe, Tsutomu Ogihara
  • Publication number: 20110311781
    Abstract: The present disclosure relates to a method of patterning a photosensitive material on a polymeric fill matrix comprising at least one latent photoacid generator; and a structure prepared according to said method. The method comprises: a. depositing a polymeric fill matrix comprising at least one latent photoacid generator; b. curing the polymeric fill matrix; c. depositing a layer of photosensitive material directly onto the cured polymeric fill matrix; and d. forming a pattern with at least one opening in the layer of photosensitive material with lithography.
    Type: Application
    Filed: June 22, 2010
    Publication date: December 22, 2011
    Applicant: International Business Machines Corp.
    Inventors: Maxime Darnon, Pratik P. Joshi, Qinghuang Lin
  • Publication number: 20110311921
    Abstract: A composition comprising one or more water soluble organic solvents comprising a glycol ether; water; a fluoride containing compound provided that if the fluoride containing compound is ammonium fluoride than no additional fluoride containing compound is added to the composition; optionally a quaternary ammonium compound; and optionally a corrosion inhibitor is disclosed herein that is capable of removing residues from an article such as photoresist and/or etching residue. Also disclosed herein is a method for removing residues from an article using the composition disclosed herein.
    Type: Application
    Filed: August 25, 2011
    Publication date: December 22, 2011
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Michael Walter Legenza, Thomas Michael Wieder, Jennifer May Rieker
  • Publication number: 20110300473
    Abstract: A nanomask for generating an illumination pattern includes a layer having a first surface and a second surface and a plurality of resonant nano-features disposed on at least a selected one of the first surface and the second surface. The nanomask is configured to provide an illumination pattern adjacent to the second surface. The illumination pattern has dimensions smaller than a wavelength ? of electromagnetic radiation used to illuminate the first surface of the layer in a single illumination. A nanopatterning method is also described.
    Type: Application
    Filed: June 3, 2011
    Publication date: December 8, 2011
    Applicant: Lightware Power, Inc.
    Inventor: Jin Ji
  • Publication number: 20110294075
    Abstract: A patterning method of the present invention is described as follows. A mask layer and a patterned photoresist layer are formed on a target layer in sequence, wherein an etching rate of the mask layer is different from an etching rate of the target layer. A plurality of spacers is formed on sidewalls of the patterned photoresist layer respectively, wherein an etching rate of the spacers is different from the etching rate of the mask layer. The patterned photoresist layer is removed to form an opening between any two adjacent spacers. A portion of the mask layer is removed by using the spacers as a mask so as to form a patterned mask layer. A portion of the target layer is removed by using the patterned mask layer as a mask.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 1, 2011
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Shin-Chi Chen, Jiunn-Hsiung Liao
  • Publication number: 20110294072
    Abstract: A method of manufacturing a semiconductor device using a photolithography process may include forming an anti-reflective layer and a first photoresist film on a lower surface. The first photoresist film may be exposed to light and a first photoresist pattern having a first opening may be formed by developing the first photoresist film. A plasma treatment can be performed on the first photoresist pattern and a second photoresist film may be formed on the first photoresist pattern, which may be exposed to light. A second photoresist pattern may be formed to have a second opening by developing the second photoresist film. Here, the second opening may be substantially narrower than the first opening.
    Type: Application
    Filed: May 27, 2011
    Publication date: December 1, 2011
    Inventors: Mi-Ra PARK, Kyoung-Mi Kim, Jeong-Ju Park, Bo-Hee Lee, Jae-Ho Kim, Young-Ho Kim
  • Publication number: 20110287360
    Abstract: A photoresist composition is provided. The photoresist composition includes an alkali-soluble resin; a photosensitizer containing a first compound that contains a diazonaphthoquinone represented by Formula 1 and a second compound that contains a diazonaphthoquinone represented by Formula 2; and a solvent. and R1 is selected from the group consisting of a hydrogen atom, an alkyl group having 1 to 4 carbons, an alkenyl group having 2 to 4 carbons, a cycloalkyl group having 3 to 8 carbons, and an aryl group having 6 to 12 carbons, and R2 is selected from the group consisting of Cl, F, Br, and I.
    Type: Application
    Filed: February 28, 2011
    Publication date: November 24, 2011
    Applicants: AZ ELECTRONIC MATERIALS K.K., SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hi-Kuk LEE, Sang-Hyun YUN, Cha-Dong KIM, Jung-In PARK, Deok-Man KANG, Youn-Suk KIM, Sae-Tae OH
  • Patent number: 8062844
    Abstract: Protective groups which may be cleaved with an activatable deprotecting reagents are employed to achieve a highly sensitive, high resolution, combinatorial synthesis of pattern arrays of diverse polymers. In preferred embodiments of the instant invention, the activatable deprotecting reagent is a photoacid generator and the protective groups are DMT for nucleic acids and tBOC for amino acids. This invention has a wide variety of applications and is particularly useful for the solid phase combinatorial synthesis of polymers.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: November 22, 2011
    Assignee: Affymetrix, Inc.
    Inventors: Robert G. Kuimelis, Glenn H. McGall, Martin J. Goldberg, Guangyu Xu
  • Publication number: 20110275020
    Abstract: Methods of forming photoresist patterns may include forming a photoresist layer on a substrate, exposing the photoresist layer using an exposure mask, forming a preliminary pattern by developing the exposed photoresist layer and treating a surface of the preliminary pattern using a treatment agent that includes a coating polymer.
    Type: Application
    Filed: May 3, 2011
    Publication date: November 10, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Subramanya Mayya, Takahiro Yasue, Seok-hwan Oh, Yool Kang
  • Publication number: 20110275019
    Abstract: A hardmask composition includes an organic solvent and one or more aromatic ring-containing polymers represented by Formulae 1, 2 and 3:
    Type: Application
    Filed: July 14, 2011
    Publication date: November 10, 2011
    Applicant: CHEIL INDUSTRIES, INC.
    Inventors: Kyong Ho YOON, Jong Seob Kim, Dong Seon Uh, Hwan Sung Cheon, Chang Il Oh, Min Soo Kim, Jin Kuk Lee
  • Publication number: 20110269077
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction minor arrays on the substrate, each diffraction minor array of the set of at least three diffraction minor arrays comprising a single row of minors, all mirrors in any particular diffraction minor array spaced apart a same distance, minors in different diffraction minor arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Application
    Filed: July 6, 2011
    Publication date: November 3, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Axel Aguado Granados, Benjamin Aaron Fox, Nathaniel James Gibbs, Andrew Benson Maki, Trevor Joseph Timpane
  • Publication number: 20110269076
    Abstract: A surface modifying material for forming a surface modifying layer provided between a substrate and a resist film, the surface modifying material including an epoxy resin having a weight average molecular weight of 1,000 to 50,000; a method of forming a resist pattern, including: forming a surface modifying layer on a substrate using the surface modifying material, forming a resist film on the substrate, on which the surface modified layer has been formed, using a resist composition, conducting exposure of the resist film, and alkali developing the resist film to form a resist pattern; and a method of forming a pattern, including: etching the substrate, on which a resist pattern has been formed by the method of forming a resist pattern
    Type: Application
    Filed: March 14, 2011
    Publication date: November 3, 2011
    Applicant: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Isao HIRANO, Junichi TSUCHIYA
  • Patent number: 8048616
    Abstract: A method of lithography patterning includes forming a first resist pattern on a substrate, the first resist pattern including a plurality of openings therein on the substrate; forming a second resist pattern on the substrate and within the plurality of openings of the first resist pattern, the second resist pattern including at least one opening therein on the substrate; and removing the first resist pattern to uncover the substrate underlying the first resist pattern.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: November 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Feng-Cheng Hsu, Jian-Hong Chen
  • Patent number: 8048615
    Abstract: There is provided an underlayer coating that is used as an underlayer of photoresists in lithography process of the manufacture of semiconductor devices and that has a high dry etching rate in comparison to the photoresists depending on the type of etching gas, does not intermix with the photoresists, and is capable of flattening the surface of a semiconductor substrate having holes of a high aspect ratio; and an underlayer coating forming composition for forming the underlayer coating. The underlayer coating forming composition for forming by light irradiation an underlayer coating used as an underlayer of a photoresist in a lithography process of the manufacture of semiconductor devices, includes a polymerizable compound containing 5 to 45% by mass of silicon atom (A), a photopolymerization initiator (B), and a solvent (C).
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: November 1, 2011
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Satoshi Takei, Yusuke Horiguchi, Keisuke Hashimoto, Makoto Nakajima
  • Publication number: 20110256485
    Abstract: An etchant composition that allows simplification and optimization of semiconductor manufacturing process is presented, along with a method of patterning a conductive layer using the etchant and a method of manufacturing a flat panel display using the etchant. The etchant includes nitric acid, phosphoric acid, acetic acid, and an acetate compound in addition to water.
    Type: Application
    Filed: June 23, 2011
    Publication date: October 20, 2011
    Inventors: Bong-Kyun KIM, Hong-Sick Park, Jong-Hyun Choung, Sun-Young Hong, Ji-Sun Lee, Byeong-Jin Lee, Kui-Jong Baek, Tai-Hyung Rhee, Yong-Sung Song
  • Patent number: 8039203
    Abstract: Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes depositing a gate material over a semiconductor substrate, and depositing a first resist layer over the gate material. A first mask is used to pattern the first resist layer to form first and second resist features. The first resist features include pattern for gate lines of the semiconductor device and the second resist features include printing assist features. A second mask is used to form a resist template; the second mask removes the second resist features.
    Type: Grant
    Filed: May 23, 2008
    Date of Patent: October 18, 2011
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Helen Wang, Scott D. Halle, Henning Haffner, Haoren Zhuang, Klaus Herold, Matthew E. Colburn, Allen H. Gabor, Zachary Baum, Scott M. Mansfield, Jason E. Meiring
  • Publication number: 20110249338
    Abstract: A method for manufacturing a microfabricated member includes the steps of forming an inorganic resist layer on a stamper having a curved surface, exposing and developing the inorganic resist layer formed on the stamper, so as to form a pattern on the inorganic resist layer, and placing the stamper, which is provided with the pattern on the inorganic resist layer, on an electrode having a curved surface nearly identical or analogous to the curved surface of the stamper and etching the stamper to form an uneven shape on the stamper surface, so as to produce a microfabricated member.
    Type: Application
    Filed: December 17, 2009
    Publication date: October 13, 2011
    Applicant: SONY CORPORATION
    Inventors: Sohmei Endoh, Kazuya Hayashibe, Koichiro Shimizu
  • Publication number: 20110244398
    Abstract: A patterning method is provided. First, a first mask layer, a second mask layer and a patterned photoresist layer are sequentially formed on a target layer. Thereafter, the second mask layer is etched by using the patterned photoresist layer as a mask, so as to form a patterned second mask layer. Afterwards, a trimming process is performed to the patterned second mask layer. Further, the first mask layer is etched by using the trimmed patterned second mask layer as a mask, so as to form a patterned first mask layer. The patterned photoresist layer is then removed. Next, the target layer is etched by using the patterned first mask layer as a mask.
    Type: Application
    Filed: March 30, 2010
    Publication date: October 6, 2011
    Applicant: United Microelectronics Corp
    Inventors: Wei-Hang Huang, Jiunn-Hsiung Liao, Pei-Yu Chou
  • Patent number: 8030620
    Abstract: A method is provided for creating a plurality of substantially uniform nano-scale features in a substantially parallel manner in which an array of micro-lenses is positioned on a surface of a substrate, where each micro-lens includes a hole such that the bottom of the hole corresponds to a portion of the surface of the substrate. A flux of charged particles, e.g., a beam of positive ions of a selected element, is applied to the micro-lens array. The flux of charged particles is focused at selected focal points on the substrate surface at the bottoms of the holes of the micro-lens array. The substrate is tilted at one or more selected angles to displace the locations of the focal points across the substrate surface. By depositing material or etching the surface of the substrate, several substantially uniform nanometer sized features may be rapidly created in each hole on the surface of the substrate in a substantially parallel manner.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: October 4, 2011
    Assignee: University of Houston
    Inventors: Vincent M. Donnelly, Demetre J. Economou, Paul Ruchhoeft, Lin Xu, Sri Charan Vemula, Manish Kumar Jain
  • Patent number: 8029974
    Abstract: There is disclosed a thermosetting metal oxide-containing film-forming composition for forming a metal oxide-containing film to be formed in a multilayer resist process used in lithography, the thermosetting metal oxide-containing film-forming composition comprising, at least: (A) a metal oxide-containing compound obtained by hydrolytic condensation of a hydrolyzable silicon compound and a hydrolyzable metal compound; (B) a thermal crosslinking accelerator; (C) a monovalent, divalent, or higher organic acid having 1 to 30 carbon atoms; (D) a trivalent or higher alcohol; and (E) an organic solvent.
    Type: Grant
    Filed: August 21, 2009
    Date of Patent: October 4, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano
  • Publication number: 20110236825
    Abstract: In a photoresist composition suitable for forming a photoresist pattern having a high profile angle, and a method of forming a photoresist pattern using the same, the photoresist composition includes an alkali-soluble resin, a quinone diazide containing compound, a compound represented by Formula 1, and a solvent: wherein R1, R2 and R3 are independently H, C1-4 alkyl, C2-4 alkenyl, C3-8 cycloalkyl, or C6-12 aryl.
    Type: Application
    Filed: January 20, 2011
    Publication date: September 29, 2011
    Inventors: Jung-In PARK, Hi-Kuk Lee, Sang-Hyun Yun, Cha-Dong Kim, Shi-Jin Sung, Sung-Yeol Jin, Sang-Tae Kim, Yong-Il Kim, Eun-Sang Lee
  • Publication number: 20110236836
    Abstract: A method includes forming a hard mask layer over an etch target layer that extends across first and second regions, forming a sacrificial layer pattern over the hard mask layer of the first region, removing the sacrificial layer pattern after forming a spacer pattern on side walls thereof, selectively etching the hard mask layer of the first region by using the spacer pattern as an etch barrier while protecting the hard mask layer of the second region from being etched, removing the spacer pattern, forming a cut mask pattern over the hard mask layer of the first and second regions, etching the hard mask layer of the first and second regions by using the cut mask pattern as an etch barrier, removing the cut mask pattern, and forming patterns in the first and second regions respectively by using the hard mask layer of the first and second regions as an etch barrier and etching the etch target layer.
    Type: Application
    Filed: July 9, 2010
    Publication date: September 29, 2011
    Inventors: Sarohan Park, Eun-Ha Lee