Including Etching Substrate Patents (Class 430/323)
  • Patent number: 9018776
    Abstract: A hard mask composition includes a solvent and an aromatic ring-containing compound represented by the following Chemical Formula 1:
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: April 28, 2015
    Assignee: Cheil Industries, Inc.
    Inventors: Jee-Yun Song, Min-Soo Kim, Hwan-Sung Cheon, Seung-Bae Oh, Yoo-Jeong Choi
  • Patent number: 9005883
    Abstract: The invention provides a patterning process comprises the steps of: (1) forming a positive chemically amplifying type photoresist film on a substrate to be processed followed by photo-exposure and development thereof by using an organic solvent to obtain a negatively developed pattern, (2) forming a silicon-containing film by applying a silicon-containing film composition comprising a solvent and a silicon-containing compound capable of becoming insoluble in a solvent by a heat, an acid, or both, (3) insolubilizing in a solvent the silicon-containing film in the vicinity of surface of the negatively developed pattern, (4) removing the non-insolubilized part of the silicon-containing film to obtain an insolubilized part as a silicon-containing film pattern, (5) etching the upper part of the silicon-containing film pattern thereby exposing the negatively developed pattern, (6) removing the negatively developed pattern, and (7) transferring the silicon-containing film pattern to the substrate to be processed.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: April 14, 2015
    Assignee: Shin-Estu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda
  • Publication number: 20150099362
    Abstract: A method including forming a line pattern in a substrate includes using a plurality of longitudinally spaced projecting features formed along respective guide lines as a template in forming a plurality of directed self-assembled (DSA) lines that individually comprise at least one of (a): the spaced projecting features and DSA material longitudinally there-between, and (b): are laterally between and laterally spaced from immediately adjacent of the guide lines. Substrate material elevationally inward of and laterally between the DSA lines may be processed using the DSA lines as a mask.
    Type: Application
    Filed: October 8, 2013
    Publication date: April 9, 2015
    Applicant: Micron Technology, Inc.
    Inventors: Scott L. Light, Vishal Sipani, Michael D. Hyatt
  • Patent number: 8999610
    Abstract: A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsing Lu, Chung-Hung Lin, Chih-Wei Wen
  • Patent number: 8999625
    Abstract: Embodiments include a silicon-containing antireflective material including a silicon-containing base polymer, a non-polymeric silsesquioxane material, and a photoacid generator. The silicon-containing base polymer may contain chromophore moieties, transparent moieties, and reactive sites on an SiOx background, where x ranges from approximately 1 to approximately 2. Exemplary non-polymeric silsesquioxane materials include polyhedral oligomeric silsesquioxanes having acid labile side groups linked to hydrophilic groups Exemplary acid labile side groups may include tertiary alkyl carbonates, tertiary alkyl esters, tertiary alkyl ethers, acetals and ketals, Exemplary hydrophilic groups may include phenols, alcohols, carboxylic acids, amides, and sulfonamides.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: April 7, 2015
    Assignee: International Business Machines Corporation
    Inventors: Martin Glodde, Wu-Song Huang, Javier Perez, Ratnam Sooriyakumaran, Takeshi Kinsho, Tsutomu Ogihara, Seiichiro Tachibana, Takafumi Ueda
  • Patent number: 8968970
    Abstract: A phase shift mask having a first region and a second region in a transverse direction includes a transparent layer, a phase shift pattern disposed in the first region, a transmittance control layer pattern disposed in the second region, and a shading layer pattern disposed on the transmittance control layer pattern. The phase shift pattern has a first pattern including a transparent material and a second pattern including metal. The phase shift mask may prevent haze effects through a cleaning process using an alkaline cleaning solution.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: March 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Se-Gun Moon, Dong-Seok Nam, Hoon Kim
  • Patent number: 8968989
    Abstract: The present invention provides novel methods of fabricating microelectronics structures, and the resulting structures formed thereby, using EUV lithographic processes. The method involves utilizing an assist layer immediately below the photoresist layer. The assist layer can either be directly applied to the substrate, or it can be applied to any intermediate layer(s) that may be applied to the substrate. The preferred assist layers are formed from spin-coatable, polymeric compositions. The inventive method allows reduced critical dimensions to be achieved with improved dose-to-size ratios, while improving adhesion and reducing or eliminating pattern collapse issues.
    Type: Grant
    Filed: November 20, 2012
    Date of Patent: March 3, 2015
    Assignee: Brewer Science Inc.
    Inventors: Tantiboro Ouattara, Carlton Washburn, Vandana Krishnamurthy, Douglas Guerrero, Aline Collin
  • Publication number: 20150050599
    Abstract: A method is disclosed to form a patterned epitaxy template, on a substrate, to direct self-assembly of block copolymer for device lithography. A resist layer on a substrate is selectively exposed with actinic (e.g. UV or DUV) radiation by photolithography to provide exposed portions in a regular lattice pattern of touching or overlapping shapes arranged to leave unexposed resist portions between the shapes. Exposed or unexposed resist is removed with remaining resist portions providing the basis for a patterned epitaxy template for the orientation of the self-assemblable block copolymer as a hexagonal or square array. The method allows for simple, direct UV lithography to form patterned epitaxy templates with sub-resolution features.
    Type: Application
    Filed: March 19, 2013
    Publication date: February 19, 2015
    Applicant: ASML Netherlands B.V.
    Inventors: Sander Frederik Wuister, Vadim Yevgenyevich Banine, Jozef Maria Finders, Roelof Koole, Emiel Peeters, Harmeet Singh
  • Patent number: 8956809
    Abstract: The present invention provides methods for etching a quartz substrate using a second level photoresist layer disposed thereon as an etching mask. In one embodiment, a method of etching a quartz substrate for forming a photomask includes providing a quartz substrate having a metal containing layer disposed thereon in an etch chamber, applying a first photoresist layer on a substrate, patterning the first photoresist layer to remove a first region of the metal containing layer to expose a first portion of the quartz substrate while remaining a second region of the metal containing layer on the quartz substrate, removing the remaining first photoresist layer on the quartz substrate, applying a second photoresist layer on the exposed quartz substrate and the second region of the metal containing layer, patterning the second photoresist layer to form openings in the second photoresist layer exposing the underlying quartz substrate, and etching the quartz substrate defined by the patterned second photoresist layer.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: February 17, 2015
    Assignee: Applied Materials, Inc.
    Inventor: Michael Grimbergen
  • Patent number: 8956808
    Abstract: A method includes forming a template having a plurality of elements above a process layer, wherein portions of the process layer are exposed between adjacent elements of the template. A directed self-assembly layer is formed over the exposed portions. The directed self-assembly layer has alternating etchable components and etch-resistant components. The etchable components of the directed self-assembly layer are removed. The process layer is patterned using the template and the etch-resistant components of the directed self-assembly layer. Non-periodic elements are defined in the process later by the template and periodic elements are defined in the process layer by the etch-resistant components of the directed self-assembly layer.
    Type: Grant
    Filed: December 4, 2012
    Date of Patent: February 17, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Gerard M. Schmid, Richad A. Farrell, Ji Xu, Jason R. Cantone, Moshe E. Preil
  • Publication number: 20150037736
    Abstract: Provided are a novel resin and a precursor thereof that are excellent in thermal decomposability and solubility in a solvent. The resin is obtained by subjecting, to an acidic treatment, a monoalkylnaphthalene formaldehyde resin that is obtained by reacting a compound represented by the following formula (1), wherein R1 represents an alkyl group having 1 to 4 carbon atoms, and formaldehyde in the presence of a catalyst.
    Type: Application
    Filed: February 25, 2013
    Publication date: February 5, 2015
    Inventors: Naoya Uchiyama, Go Higashihara, Masatoshi Echigo
  • Patent number: 8945820
    Abstract: The present invention is a silicon-containing resist underlayer film-forming composition containing a condensation product and/or a hydrolysis condensation product of a mixture comprising: one or more kinds of a compound (A) selected from the group consisting of an organic boron compound shown by the general formula (1) and a condensation product thereof and one or more kinds of a silicon compound (B) shown by the general formula (2). Thereby, there can be provided a silicon-containing resist underlayer film-forming composition being capable of forming a pattern having a good adhesion, forming a silicon-containing film which can be used as a dry-etching mask between a photoresist film which is the upperlayer film of the silicon-containing film and an organic film which is the underlayer film thereof, and suppressing deformation of the upperlayer resist during the time of dry etching of the silicon-containing film; and a patterning process.
    Type: Grant
    Filed: November 2, 2012
    Date of Patent: February 3, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Yoshinori Taneda
  • Patent number: 8927201
    Abstract: A multilayer resist process pattern-forming method includes providing an inorganic film over a substrate. A protective film is provided on the inorganic film. A resist pattern is provided on the protective film. A pattern is provided on the substrate by etching that utilizes the resist pattern as a mask. A multilayer resist process inorganic film-forming composition includes a compound, an organic solvent, and a crosslinking accelerator. The compound includes a metal compound that includes a hydrolyzable group, a hydrolysate of a metal compound that includes a hydrolyzable group, a hydrolysis-condensation product of a metal compound that includes a hydrolyzable group, or a combination thereof. The compound includes at least one metal element belonging to Group 6, Group 12, or Group 13 of the Periodic Table of the Elements.
    Type: Grant
    Filed: September 27, 2013
    Date of Patent: January 6, 2015
    Assignee: JSR Corporation
    Inventors: Kazunori Takanashi, Yoshio Takimoto, Takashi Mori, Kazuo Nakahara, Masayuki Motonari
  • Publication number: 20150004531
    Abstract: A hardmask composition includes a monomer represented by the following Chemical Formula 1, a polymer including a moiety represented by the following Chemical Formula 2, a polymer including a moiety represented by the following Chemical Formula 3, or a combination thereof, and a solvent,
    Type: Application
    Filed: April 28, 2014
    Publication date: January 1, 2015
    Inventors: Yoo-Jeong CHOI, Yun-Jun KIM, Go-Un KIM, Young-Min KIM, Hea-Jung KIM, Joon-Young MOON, Yo-Choul PARK, Yu-Shin PARK, You-Jung PARK, Hyun-Ji SONG, Seung-Wook SHIN, Yong-Woon YOON, Chung-Heon LEE, Seung-Hee HONG
  • Patent number: 8911932
    Abstract: Disclosed are the deactivation mechanism and chemistry platforms that make high-silicon hardmask films photo-imageable like positive-tone photoresist for microphotolithography. The deactivation mechanism requires a catalyst to promote crosslinking reactions, and a photoacid generator to deactivate the catalyst. The initial hardmask films are soluble in developers. If not radiated, films become insoluble in developers due to crosslinking reactions promoted by catalyst. If radiated, films remain soluble in developers due to deactivation of catalyst by photoacid generator. Compositions of positive-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Also disclosed is a method of modifying polysiloxane and polysilsesquioxane films for controlled diffusion of catalysts, photoacid generators, and quenchers.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: December 16, 2014
    Inventor: Sam Xunyun Sun
  • Publication number: 20140363768
    Abstract: A naphthalene derivative having formula (1) is provided wherein Ar1 and Ar2 denote a benzene or naphthalene ring, and n is such a natural number as to provide a weight average molecular weight of up to 100,000. A material comprising the naphthalene derivative or a polymer comprising the naphthalene derivative is spin coated to form a resist bottom layer having improved properties. A pattern forming process in which a resist bottom layer formed by spin coating is combined with an inorganic hard mask formed by CVD is available.
    Type: Application
    Filed: August 22, 2014
    Publication date: December 11, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takeshi Kinsho, Daisuke Kori, Katsuya Takemura, Takeru Watanabe, Tsutomu Ogihara
  • Patent number: 8906596
    Abstract: The present invention resides in a method of providing a mark on a surface of a metal component, where the mark comprises a symbol (301) representing a first entity of information and the method comprises a step of laser marking, in which a controllable laser beam is used to form the symbol (301) from two or more separate line segments (33 1-334), each line segment (33 1.334) having at least one point of overlap with another line segment. According to the invention, the method further comprises a step of embedding a second entity of information within the mark by modifying a sequence in which the two or more separate line segments (33 1-334) are formed.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: December 9, 2014
    Assignee: Aktiebolaget SKF
    Inventors: John Van De Sanden, Hendrik Johannes Krock, Hendrik Anne Mol
  • Publication number: 20140356789
    Abstract: A method of patterning a device comprises providing on a device substrate a layer of a fluorinated photopolymer comprising at least three distinct repeating units including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit having an anthracene-based sensitizing dye. The photopolymer has a total fluorine content in a range of 15 to 60% by weight. The photopolymer layer is exposed to patterned light and contacted with a developing agent to remove a portion of exposed photopolymer layer in accordance with the patterned light, thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate corresponding to the removed portion of photopolymer. The developing agent comprises at least 50% by volume of a fluorinated solvent.
    Type: Application
    Filed: May 30, 2014
    Publication date: December 4, 2014
    Inventors: Charles Warren Wright, Ralph Rainer Dammel
  • Publication number: 20140342273
    Abstract: Disclosed are a monomer for a hardmask composition represented by the following Chemical Formula 1, a hardmask composition including the monomer, and a method of forming a pattern using the same. In Chemical Formula 1, A, A?, L and n are the same as in the detailed description.
    Type: Application
    Filed: November 29, 2012
    Publication date: November 20, 2014
    Inventors: Yun-Jun Kim, Hwan-Sung Cheon, Youn-Jin Cho, Yong-Woon Yoon, Chung-Heon Lee, Hyo-Young Kwon, Yoo-Jeong Choi
  • Publication number: 20140342291
    Abstract: Dual tone photoresist formulations comprising a photoacid generator are described and employed in fabrication techniques, including methods of making structures on substrates, and more particularly, methods of making electronic devices (e.g. transistors and the like) on flexible substrates wherein two patterns are formed simultaneously in one layer of photoresist.
    Type: Application
    Filed: April 1, 2014
    Publication date: November 20, 2014
    Applicant: Board of Regents, The University of Texas System
    Inventors: C. Grant Willson, Wei-Lun Kane Jen, Brandon Mark Rawlings, Jeffrey Ryan Strahan
  • Publication number: 20140335447
    Abstract: A composition for a hardmask including copolymer including repeating units represented by Chemical Formulae 1 and 2 and a solvent, a method of forming a pattern using the same, and a semiconductor integrated circuit device including a pattern formed using the method are provided.
    Type: Application
    Filed: November 23, 2012
    Publication date: November 13, 2014
    Inventors: Sung-Jae Lee, Joon-Young Moon, Youn-Jin Cho, Young-Min Kim, Yong-Woon Yoon
  • Publication number: 20140335458
    Abstract: A structure having an optical slit therein. The structure includes a substrate having an opening therethrough and a metal layer disposed on the substrate, such metal layer having a photolithographically formed slit therein, such slit being narrower than the opening and being disposed over the opening, portions of the metal layer disposed adjacent the slit being suspended over the opening and other portions of the metal layer being supported by the substrate.
    Type: Application
    Filed: July 28, 2014
    Publication date: November 13, 2014
    Applicant: RAYTHEON COMPANY
    Inventor: Arturo L. Caigoy
  • Patent number: 8881353
    Abstract: Provided is a method of producing a piezoelectric/electrorestrictive film type device including a vibrating laminate obtained by laminating electrode films and piezoelectric/electrorestrictive films on a substrate containing a cavity. The method of producing the vibrating laminate includes: producing the substrate with a cavity, forming the first photoresist film on first principal surface of substrate, irradiating substrate from the second principal surface side of the substrate, transferring the plane shape of the cavity to the first photoresist film, developing and removing the first photoresist film formed in the region where the shape of cavity was formed, forming a lowermost electrode film by plating, and forming additional films other than the lowermost electrode film constituting the vibrating laminate.
    Type: Grant
    Filed: September 6, 2010
    Date of Patent: November 11, 2014
    Assignee: NGK Insulators, Ltd.
    Inventors: Hideki Shimizu, Mutsumi Kitagawa
  • Patent number: 8883374
    Abstract: A method and system are described for performing extreme ultraviolet photolithographic processing. The method comprises obtaining a substrate comprising a hard mask and a patterned layer of extreme ultraviolet (EUV) photoresist formed above the hard mask, encapsulating the patterned layer of EUV photoresist by forming an encapsulating layer being one of a silicon-oxide, silicon-nitride, silicon-oxynitride, germanium-oxide, germanium-nitride, germanium-oxynitride, silicongermanium-oxide, silicongermanium-nitride, silicongermanium-oxynitride layer on the photoresist and dry etching of the substrate for patterning the hard mask. The encapsulation layer thereby is formed at a temperature below the weakening temperature Tg of the EUV photoresist by using a first precursor being one of the group of silicon-tetrahalogenide, silicon tetrahydride, germanium-tetrahalogenide, germanium tetrahydride, silicongermanium-tetrahalogenide or silicongermanium tetrahydride precursor and an oxygen precursor.
    Type: Grant
    Filed: December 5, 2012
    Date of Patent: November 11, 2014
    Assignee: IMEC
    Inventor: Efrain Altamirano Sanchez
  • Patent number: 8877425
    Abstract: A resist underlayer film forming composition for lithography includes: as a component (I), a fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof, a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof, and a monomer D having a silicon atom-containing organic group and at least one radical polymerizable double bond in the molecule thereof, in the presence of a polymerization initiator C in a content of 5% by mole or more and 200% by mole or less, based on the total mole of the monomer A, the monomer B, and the monomer D; and as a component (II), a hydrolyzable silane compound, a hydrolysis product thereof, a hydrolysis-condensation product thereof, or a silicon-containing compound that is a combination of these compounds.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: November 4, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Yuta Kanno, Makoto Nakajima, Tomoko Misaki, Motonobu Matsuyama, Masayuki Haraguchi
  • Publication number: 20140319659
    Abstract: A resist underlayer composition, a method of forming patterns, and semiconductor integrated circuit device, the composition including a solvent; and a compound including a moiety represented by the following Chemical Formula 1:
    Type: Application
    Filed: November 25, 2013
    Publication date: October 30, 2014
    Inventors: Hyo-Young KWON, Min-Gyum KIM, Jun-Ho LEE, Hwan-Sung CHEON
  • Publication number: 20140314898
    Abstract: A heat-reactive resist material contains copper oxide, and silicon or silicon oxide, and is formed so that the content of silicon or silicon oxide in the heat-reactive resist material is 4.0 mol % or more less than 10.0 mol % in terms of mole of silicon. A heat-reactive resist layer is formed using the heat-reactive resist material, is exposed, and then, is developed with a developing solution. Using the obtained heat-reactive resist layer as a mask, dry etching is performed on a substrate with a fluorocarbon to manufacture a mold having a concavo-convex shape on the substrate surface. At this point, it is possible to control a fine pattern comprised of the concavo-convex shape.
    Type: Application
    Filed: November 16, 2012
    Publication date: October 23, 2014
    Inventors: Yoshimichi Mitamura, Takuto Nakata
  • Patent number: 8859191
    Abstract: Providing a method for forming a pattern capable of forming a resist underlayer film that can be easily removed using an alkali liquid while maintaining etching resistance is objected to. Provided by the present invention is a method for forming a pattern, the method including: (1) forming a resist underlayer film on a substrate using a composition for forming a resist underlayer film containing a compound having an alkali-cleavable functional group; (2) forming a resist pattern on the resist underlayer film; (3) forming a pattern on the substrate by dry etching of the resist underlayer film and the substrate, using the resist pattern as a mask; and (4) removing the resist underlayer film with an alkali liquid.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: October 14, 2014
    Assignee: JSR Corporation
    Inventors: Yushi Matsumura, Shinya Minegishi, Satoru Murakami, Yusuke Anno, Shinya Nakafuji, Kazuhiko Komura, Kyoyu Yasuda
  • Publication number: 20140295331
    Abstract: A nanoporous film patterned by direct photolithography and a method for preparing the same are provided. Since a precursor of the material is the mixture of a nano template material and a photoresist and the mixture still has the basic physical properties of the photoresist, a film is formed on a substrate by a standard photolithography process and a micro-sized patterned structure is realized. The mixture with the patterned structure is chemically etched to remove the template material to form a porous polymer film, or the mixture with the patterned structure is carbonized at a high temperature and then the template material is removed to form a porous carbon film. The nanoporous film patterned by direct photolithography and the method for preparing the same have the advantages of simple operation, low cost and good integration with other micro electric mechanical systems.
    Type: Application
    Filed: April 27, 2013
    Publication date: October 2, 2014
    Applicant: Tsinghua University
    Inventors: Xiaohong Wang, Caiwei Shen
  • Patent number: 8846301
    Abstract: An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO2 as the solvent so that the performance of the organic conductors and semiconductors would not be adversely affected by other aggressive solvent. One disclosed method may also utilize a fluorinated photoresist together with the HFE solvent, but other fluorinated solvents can be used. In one embodiment, the fluorinated photoresist is a resorcinarene, but various fluorinated polymer photoresists and fluorinated molecular glass photoresists can be used as well. For example, a copolymer perfluorodecyl methacrylate (FDMA) and 2-nitrobenzyl methacrylate (NBMA) is a suitable orthogonal fluorinated photoresist for use with fluorinated solvents and supercritical carbon dioxide in a photolithography process.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: September 30, 2014
    Assignee: Cornell University
    Inventors: Christopher K. Ober, George Malliaras, Jin-Kyun Lee, Alexander Zakhidov, Margarita Chatzichristidi, Priscilla Taylor
  • Publication number: 20140272714
    Abstract: A method of lithography patterning includes forming a first resist pattern on a substrate, wherein the first resist pattern including a plurality of openings. A second resist pattern is formed on the substrate and within the plurality of openings of the first resist pattern, wherein the second resist pattern includes at least one opening therein on the substrate. The first resist pattern is removed to uncover the substrate underlying the first resist pattern.
    Type: Application
    Filed: June 3, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: CHUN-KUANG CHEN, Hsiao-Wei Yeh, Chih-An Lin, Chien-Wei Wang, Feng-Cheng Hsu
  • Publication number: 20140272711
    Abstract: A method of fabricating a substrate including coating a first resist onto a hardmask, exposing regions of the first resist to electromagnetic radiation at a dose of 10.0 mJ/cm2 or greater and removing a portion of said the and forming guiding features. The method also includes etching the hardmask to form isolating features in the hardmask, applying a second resist within the isolating features forming regions of the second resist in the hardmask, and exposing regions of the second resist to electromagnetic radiation having a dose of less than 10.0 mJ/cm2 and forming elements.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Inventors: ROBERT L. BRISTOL, PAUL A. NYHUS, CHARLES H. WALLACE
  • Publication number: 20140272722
    Abstract: A composition for forming a resist underlayer film includes a polymer having a structural unit represented by a formula (1). Ar1, Ar2, Ar3 and Ar4 each independently represent a divalent aromatic hydrocarbon group or a divalent heteroaromatic group. A part or all of hydrogen atoms included in the divalent aromatic hydrocarbon group and the divalent heteroaromatic group represented by Ar1, Ar2, Ar3 or Ar4 may be substituted. R1 represents a single bond or a divalent hydrocarbon group having 1 to 20 carbon atoms. A part or all of hydrogen atoms included in the divalent hydrocarbon group represented by R1 may be substituted. The divalent hydrocarbon group represented by R1 may have an ester group, an ether group or a carbonyl group in a structure thereof. Y represents a carbonyl group or a sulfonyl group. m is 0 or 1. n is 0 or 1.
    Type: Application
    Filed: May 29, 2014
    Publication date: September 18, 2014
    Applicant: JSR CORPORATION
    Inventors: Shin-ya NAKAFUJI, Satoru MURAKAMI, Yoshio TAKIMOTO, Kazuhiko KOMURA, Masayuki MOTONARI, Katsuhisa MIZOGUCHI
  • Patent number: 8828493
    Abstract: Methods are disclosed for forming a layered structure comprising a self-assembled material. An initial patterned photoresist layer is treated photochemically, thermally, and/or chemically to form a treated patterned photoresist layer comprising a non-crosslinked treated photoresist. The treated photoresist is insoluble in an organic solvent suitable for casting a material capable of self-assembly. A solution comprising the material capable of self-assembly dissolved in the organic solvent is casted on the treated layer, and the organic solvent is removed. The casted material is allowed to self-assemble with optional heating and/or annealing, thereby forming the layered structure comprising the self-assembled material. The treated photoresist can be removed using an aqueous base and/or a second organic solvent.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 9, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Charles Thomas Rettner, Daniel Paul Sanders
  • Publication number: 20140247438
    Abstract: Correction of reticle defects, such as EUV reticle defects, is accomplished with a second exposure. Embodiments include obtaining a reticle with a first pattern corresponding to a design for a wafer pattern, detecting dark defects and/or design/OPC weak spots in the first pattern, exposing a resist covered wafer using the reticle, and exposing the wafer using a second reticle with a second pattern or a second image field with openings corresponding to the dark defects, with a repair pattern on the reticle or on another reticle, or with a programmed e-beam or laser writer.
    Type: Application
    Filed: May 12, 2014
    Publication date: September 4, 2014
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Arthur HOTZEL
  • Patent number: 8822141
    Abstract: A method for printing a wafer ID on a wafer, the method comprises identifying a wafer ID on a back side of the wafer. Subsequently, etching a plurality of recesses, consistent in size with chip features of the wafer, into the front side of the wafer, such that the plurality of recesses depicts the wafer ID. The method further comprises filling the recesses with a metal.
    Type: Grant
    Filed: March 5, 2013
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mukta G. Farooq, Robert Hannon, Subramanian S. Iyer, Kevin S. Petrarca, Stuart A. Sieg
  • Patent number: 8821978
    Abstract: A method of forming a layered structure comprising a domain pattern of a self-assembled material utilizes a negative-tone patterned photoresist layer comprising non-crosslinked developed photoresist. The developed photoresist is not soluble in an organic casting solvent for a material capable of self-assembly. The developed photoresist is soluble in an aqueous alkaline developer and/or a second organic solvent. A solution comprising the material capable of self-assembly and the organic casting solvent is casted on the patterned photoresist layer. Upon removal of the organic casting solvent, the material self-assembles, thereby forming the layered structure.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 2, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, William D. Hinsberg, Ho-Cheol Kim, Young-Hye Na, Daniel Paul Sanders, Linda Karin Sundberg, Hoa D. Truong, Gregory Michael Wallraff, Atsuko Ito
  • Patent number: 8822138
    Abstract: There is provided a resist underlayer film having both heat resistance and etching selectivity. A composition for forming a resist underlayer film for lithography, comprising a reaction product (C) of an alicyclic epoxy polymer (A) with a condensed-ring aromatic carboxylic acid and monocyclic aromatic carboxylic acid (B). The alicyclic epoxy polymer (A) may include a repeating structural unit of Formula (1): (T is a repeating unit structure containing an alicyclic ring in the polymer main chain; and E is an epoxy group or an organic group containing an epoxy group). The condensed-ring aromatic carboxylic acid and monocyclic aromatic carboxylic acid (B) may include a condensed-ring aromatic carboxylic acid (B1) and a monocyclic aromatic carboxylic acid (B2) in a molar ratio of B1:B2=3:7 to 7:3. The condensed-ring aromatic carboxylic acid (B1) may be 9-anthracenecarboxylic acid and the monocyclic aromatic carboxylic acid (B2) may be benzoic acid.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: September 2, 2014
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Tetsuya Shinjo, Hirokazu Nishimaki, Yasushi Sakaida, Keisuke Hashimoto
  • Publication number: 20140242523
    Abstract: Various techniques for patterning a substrate are disclosed. Specifically, implantation of the first species into an anti-reflective coating layer is contemplated to reduce stress in the layer that may be generated during the exposure stage or development stage. During these steps, the resist layer or the resist structure may under mechanical changes (e.g. shrinkage) while it is in contact with the anti-reflective layer. Such changes may introduce stress in the anti-reflective layer, which may contribute to excessive line edge roughness (LER) or line width roughness (LWR). By implanting the first species before, during, or after these steps, the stress in the anti-reflective layer may be avoided or compensated, and excessive LER or LWR may be avoided or reduced.
    Type: Application
    Filed: February 10, 2014
    Publication date: August 28, 2014
    Inventors: Ludovic Godet, Tristan Ma
  • Patent number: 8815102
    Abstract: A method for fabricating a patterned dichroic film is provided, wherein the method comprises steps as follows: A patterned material layer comprising at least one inorganic layer is firstly provided on a substrate. A film deposition process is then performed to form a dichroic film on the patterned material layer and the substrate. The patterned material layer is subsequently removed, whereby a portion of the dichroic film disposed on the patterned material layer can be removed simultaneously.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: August 26, 2014
    Assignee: United Microelectronics Corporation
    Inventor: Yi-Tyng Wu
  • Publication number: 20140234772
    Abstract: One or more techniques or systems for controlling a profile for photo resist (PR) are provided herein. In some embodiments, a first shield layer is formed on a first PR layer and a second PR layer is formed on the first shield layer. A first window is formed within the second PR layer during a first exposure with a mask. A second window is formed within the first shield layer based on the first window. A third window is formed within the first PR layer during a second exposure without a mask. Because, the third window is formed while the first shield layer and the second PR layer are on the first PR layer, a profile associated with the first PR layer is controlled. Contamination during ion bombardment is mitigated due to the controlled profile.
    Type: Application
    Filed: February 20, 2013
    Publication date: August 21, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Li Huai YANG, Chien-Mao CHEN
  • Publication number: 20140234780
    Abstract: Embodiments of the present invention are directed to techniques for obtaining patterns of features. One set of techniques uses multiple-pass rolling mask lithography to obtain the desired feature pattern. Another technique uses a combination of rolling mask lithography and a self-aligned plasmonic mask lithography to obtain a desired feature pitch.
    Type: Application
    Filed: April 24, 2014
    Publication date: August 21, 2014
    Applicant: ROLITH, INC.
    Inventors: Boris Kobrin, Mark Brongersma, Edward Barnard
  • Patent number: 8795955
    Abstract: A naphthalene derivative having formula (1) is provided wherein cyclic structures Ar1 and Ar2 denote a benzene or naphthalene ring, X is a single bond or C1-C20 alkylene, m is 0 or 1, and n is such a natural number as to provide a molecular weight of up to 100,000. A material comprising the naphthalene derivative or a polymer comprising the naphthalene derivative is spin coated to form a resist bottom layer having improved properties. A pattern forming process in which a resist bottom layer formed by spin coating is combined with an inorganic hard mask formed by CVD is available.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: August 5, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeshi Kinsho, Katsuya Takemura, Daisuke Kori, Takeru Watanabe, Tsutomu Ogihara
  • Publication number: 20140205951
    Abstract: A thermal crosslinking accelerator of a polysiloxane compound is shown by the following general formula (A-1), wherein R11, R12, R13, and R14 each represents a hydrogen atom, a halogen atom, a linear, a branched, a cyclic alkyl group having 1 to 20 carbon atoms, an optionally substituted aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms, wherein some or all of the hydrogen atoms in these groups may be substituted by an alkoxy group. “a”, “b”, “c”, and “d” represent an integer of 0 to 5; in the case that “a”, “b”, “c”, and “d” are 2 or more, R11, R12, R13, and R14 may form a cyclic structure.
    Type: Application
    Filed: December 27, 2013
    Publication date: July 24, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Yusuke BIYAJIMA, Hiroyuki URANO
  • Publication number: 20140199634
    Abstract: During a multiple patterning process every nth element of the pattern is removed. The removal of the elements of the patterns happens after the pattern has been printed into the radiation sensitive material or etched into substrate. Advantageously, the original mask is not varied, and another exposure step is used to remove the elements of the pattern.
    Type: Application
    Filed: March 14, 2014
    Publication date: July 17, 2014
    Applicant: ASML Netherlands B.V.
    Inventors: Johannes Anna QUAEDACKERS, Paul Christiaan HINNEN, Antonie Gaston Marie KIERS, Christian Marinus LEEWIS
  • Publication number: 20140193754
    Abstract: The present invention relates to novel neutral layer compositions and methods for using the neutral layer compositions for aligning microdomains of directed self-assembling block copolymers (BCP). The compositions and processes are useful for fabrication of electronic devices.
    Type: Application
    Filed: February 14, 2014
    Publication date: July 10, 2014
    Applicant: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.
    Inventors: Hengpeng WU, Yi CAO, SungEun HONG, Jian YIN, Margareta PAUNESCU, Mark O. NEISSER, Guanyang LIN
  • Publication number: 20140193757
    Abstract: The invention provides a composition for forming a metal oxide-containing film comprising, as a component (A), a metal oxide-containing compound A1 obtained by hydrolysis and/or condensation of one or more kinds of hydrolysable metal compounds shown by the following general formula (A-1), as a component (B), an aromatic compound shown by the following general formula (B-1), the compound generating a hydroxyl group by thermal and/or an acid. There can be provided a composition for a resist lower layer film, which has high etching selectivity, capable of subjecting to stripping under mild conditions than the conventional process, has excellent pattern adhesiveness, and fine pattern formation can be performed.
    Type: Application
    Filed: December 16, 2013
    Publication date: July 10, 2014
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsutomu OGIHARA, Takafumi UEDA, Seiichiro TACHIBANA, Yoshinori TANEDA
  • Patent number: 8771929
    Abstract: A stack of an organic planarization layer (OPL) and a template layer is provided over a substrate. The template layer is patterned to induce self-assembly of a copolymer layer to be subsequently deposited. A copolymer layer is deposited and annealed to form phase-separated copolymer blocks. An original self-assembly pattern is formed by removal of a second phase separated polymer relative to a first phase separated polymer. The original pattern is transferred into the OPL by an anisotropic etch, and the first phase separated polymer and the template layer are removed. A spin-on dielectric (SOD) material layer is deposited over the patterned OPL that includes the original pattern to form SOD portions that fill trenches within the patterned OPL. The patterned OPL is removed selective to the SOD portions, which include a complementary pattern. The complementary pattern of the SOD portions is transferred into underlying layers by an anisotropic etch.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: July 8, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael A. Guillorn, Steven J. Holmes, Chi-Chun Liu, Hiroyuki Miyazoe, Hsinyu Tsai
  • Publication number: 20140186775
    Abstract: A monomer for a hardmask composition is represented by the following Chemical Formula 1,
    Type: Application
    Filed: November 14, 2013
    Publication date: July 3, 2014
    Inventors: Bum-Jin LEE, Yun-Jun KIM, Youn-Jin CHO
  • Publication number: 20140186776
    Abstract: There is provided a novel phenolic resin which can be used as a coating agent or a resist resin for a semiconductor, which has a high carbon concentration and a low oxygen concentration in the resin, which has a relatively high heat resistance and also a relatively high solvent solubility, and which can be applied to a wet process. There are also provided a material useful for forming a novel photoresist underlayer film which has a relatively high solvent solubility, which can be applied to a wet process, and which is excellent in etching resistance as an underlayer film for a multilayer resist, an underlayer film formed using the same, and a pattern forming method using the same. A resin of the present invention is obtained by reacting a compound having a specified structure and an aldehyde having a specified structure in the presence of an acidic catalyst. In addition, a material for forming an underlayer film for lithography of the present invention includes at least the resin and an organic solvent.
    Type: Application
    Filed: May 30, 2012
    Publication date: July 3, 2014
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Naoya Uchiyama, Go Higashihara, Masatoshi Echigo