Including Etching Substrate Patents (Class 430/323)
  • Publication number: 20130011790
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130011792
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130011788
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 13, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130011789
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130011795
    Abstract: The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Sen Liu, Pushkara R. Varanasi
  • Publication number: 20130004900
    Abstract: A method for forming a resist under layer film includes providing a composition for forming a resist under layer film on a substrate which is to be processed. The composition includes a solvent and a calixarene compound or a derivative of the calixarene compound. The composition is set under an oxidizing atmosphere with an oxygen content of 1% or more by volume to form a resist under layer film.
    Type: Application
    Filed: September 11, 2012
    Publication date: January 3, 2013
    Applicant: JSR Corporation
    Inventors: Yousuke Konno, Nakaatsu Yoshimura, Fumihiro Toyokawa, Hikaru Sugita
  • Patent number: 8343713
    Abstract: The invention is directed to a method for patterning a material layer. The method comprises steps of providing a material layer. The material layer has a first hard mask layer and a second hard mask layer successively formed thereon. Then, the second hard mask layer is patterned to form a plurality of openings therein. A patterned photoresist layer is formed to cover the second hard mask layer and the patterned photoresist layer exposes a portion of the openings. The first hard mask layer with the patterned photoresist layer and the patterned second hard mask layer together as a mask. Then, the patterned photoresist layer and the patterned second hard mask layer are removed. The material layer is patterned with the patterned first hard mask layer as a mask.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: January 1, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Chih-Hao Huang, Tzong-Hsien Wu, Chin-Cheng Yang, Tien-Chu Yang
  • Patent number: 8338078
    Abstract: A material comprising a novolac resin having a C6-C30 aromatic hydrocarbon group substituted with a sulfo group or an amine salt thereof is useful in forming a photoresist undercoat. The undercoat-forming material has an extinction coefficient sufficient to provide an antireflective effect at a thickness of at least 200 nm, and a high etching resistance as demonstrated by slow etching rates with CF4/CHF3 gas for substrate processing.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: December 25, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Toshihiko Fujii, Takeru Watanabe, Youichi Ohsawa
  • Patent number: 8334083
    Abstract: A method of patterning a multi-layer mask is described. The method includes preparing a multi-layer mask on a substrate, wherein the multi-layer mask includes a lithographic layer and an intermediate mask layer underlying the lithographic layer, and wherein the intermediate mask layer comprises a carbon-containing compound.
    Type: Grant
    Filed: March 22, 2011
    Date of Patent: December 18, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Vinh Hoang Luong, Akiteru Ko
  • Publication number: 20120308933
    Abstract: The invention is directed to a radiation sensitive compound comprising a surface binding group proximate to one end of the compound for attachment to a substrate, and a metal binding group proximate to an opposite end of the compound. The metal binding group is not radiation sensitive. The radiation sensitive compound also includes a body portion disposed between the surface binding group and the metal binding group, and a radiation sensitive group positioned in the body portion or adjacent to the metal binding group. The surface binding group is capable of attaching to a substrate selected from a metal, a metal oxide, or a semiconductor material.
    Type: Application
    Filed: August 15, 2012
    Publication date: December 6, 2012
    Applicant: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Cherie R. Kagan, Laura L. Kosbar, Sally A. Swanson, Charan Srinivasan
  • Patent number: 8323870
    Abstract: The present disclosure provides a resist utilized in a photolithography patterning process. The resist includes a polymeric material having a plurality of zipper molecules, each including a first zipper portion and a second zipper portion, wherein the first and second zipper portions each include a plurality of zipper branches bonded together in pairs and cleavable to one of thermal energy, radiation energy, and chemical reaction.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: December 4, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fong-Cheng Lee, Ching-Yu Chang
  • Publication number: 20120301833
    Abstract: The present invention provides a method of reducing microloading effect by using a photoresist layer as a buffer. The method includes: providing a substrate defined with a dense region and an isolated region. Then, a dense feature pattern and an isolated feature pattern are formed on the dense region and the isolated region respectively. After that, a photoresist layer is formed to cover the isolated region. Finally, the substrate and the photoresist layer are etched by taking the dense feature pattern and the isolated feature pattern as a mask.
    Type: Application
    Filed: May 29, 2011
    Publication date: November 29, 2012
    Inventors: Hsiu-Chun Lee, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8318427
    Abstract: Protective groups which may be cleaved with an activatable deprotecting reagents are employed to achieve a highly sensitive, high resolution, combinatorial synthesis of pattern arrays of diverse polymers. In preferred embodiments of the instant invention, the activatable deprotecting reagent is a photoacid generator and the protective groups are DMT for nucleic acids and tBOC for amino acids. This invention has a wide variety of applications and is particularly useful for the solid phase combinatorial synthesis of polymers.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: November 27, 2012
    Assignee: Affymetrix, Inc.
    Inventors: Robert G. Kuimelis, Glenn H. McGall, Martin J. Goldberg, Guangyu Xu
  • Patent number: 8318386
    Abstract: Embodiments of the invention relate to methods useful in the fabrication of nanostructured devices for optics, energy generation, displays, consumer electronics, life sciences and medicine, construction and decoration. Instead of nanostructuring using colloids of particles, special vacuum deposition methods, laser interference systems (holography), and other low-throughput limited surface area techniques, we suggest to use nanotemplate created by novel nanolithography method, “Rolling mask” lithography. This method allows fast and inexpensive fabrication of nanostructures on large areas of substrate materials in conveyor-type continuous process. Such nanotemplate is then used for selective deposition of functional materials. One of embodiments explains deposition of functional materials in the exposed and developed areas of the substrate. Another embodiment uses selective deposition of the functional material on top of such template.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: November 27, 2012
    Assignee: Rolith Inc.
    Inventor: Boris Kobrin
  • Patent number: 8313890
    Abstract: A composition comprising (A) a fluorinated polymer having k=0.01-0.4 and n=1.4-2.1 and (B) an aromatic ring-bearing polymer having k=0.3-1.2 is used to form an antireflective coating. The ARC-forming composition can be deposited by the same process as prior art ARCs. The resulting ARC is effective in preventing reflection of exposure light in photolithography and has an acceptable dry etching rate.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: November 20, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Seiichiro Tachibana, Kazumi Noda, Takeru Watanabe, Jun Hatakeyama, Takeshi Kinsho
  • Patent number: 8304178
    Abstract: The present invention discloses a composition suitable for use as a top antireflective coating and barrier layer for immersion lithography. The inventive composition is soluble in aqueous base solutions and insoluble in water. The inventive composition comprises a polymer having at least one hydrophobic moiety, at least one acidic moiety with a pKa of 1 or less, and at least one aqueous base soluble moiety. The present invention also discloses a method of forming a patterned layer on a substrate by using the inventive composition in lithography.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: November 6, 2012
    Assignee: International Business Machines Corporation
    Inventors: Mahmoud Khojasteh, Wu-Song Huang, Margaret C. Lawson, Kaushal S. Patel, Irene Popova, Pushkara R. Varanasi
  • Publication number: 20120270157
    Abstract: A resist underlayer film-forming composition includes a polymer including a structural unit shown by a formula (1), and having a polystyrene-reduced weight average molecular weight of from 3000 to 10000, and a solvent. Each of R3 to R8 independently represents a group shown by a formula (2), a hydrogen atom, a hydroxyl group, an alkyl group having 1 to 6 carbon atoms, an alkoxy group having 1 to 6 carbon atoms, an alkoxycarbonyl group having 2 to 10 carbon atoms, an aryl group having 6 to 14 carbon atoms, or a glycidyl ether group having 3 to 6 carbon atoms, wherein at least one of R3 to R8 represents the group shown by the formula (2).
    Type: Application
    Filed: March 29, 2012
    Publication date: October 25, 2012
    Applicant: JSR Corporation
    Inventors: Shin-ya Minegishi, Yushi Matsumura, Shinya Nakafuji, Kazuhiko Komura, Takanori Nakano, Satoru Murakami, Kyoyu Yasuda, Makoto Sugiura
  • Patent number: 8293460
    Abstract: Methods to pattern features in a substrate layer by exposing a photoresist layer more than once. In one embodiment, a single reticle may be exposed more than once with an overlay offset implemented between successive exposures to reduce the half pitch of the reticle. In particular embodiments, these methods may be employed to reduce the half pitch of the features printed with 65 nm generation lithography equipment to achieve 45 nm lithography generation CD and pitch performance.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: October 23, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hui W. Chen, Chorng-Ping Chang, Yongmei Chen, Huixiong Dai, Jiahua Yu, Susie X. Yang, Xumou Xu, Christopher D. Bencher, Raymond Hoiman Hung, Michael P. Duane, Christopher Siu Wing Ngai, Jen Shu, Kenneth MacWilliams
  • Patent number: 8293124
    Abstract: A method of multi-stage substrate etching is provided.
    Type: Grant
    Filed: March 4, 2008
    Date of Patent: October 23, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chan Wook Baik, Seog Woo Hong, Jong Seok Kim, Seong Chan Jun, Sun Il Kim
  • Publication number: 20120261263
    Abstract: A three-dimensional nanochannel device and a method of manufacturing the same are provided. In the device, a first substrate, a second substrate, and a channel layer sandwiched by the first and the second substrates are included. At least one channel is constituted by the first and the second substrates and the channel layer and includes a fluid inlet, a fluid outlet, and at least one condensed channel between the fluid inlet and the fluid outlet. The condensed channel at least has a first size and a second size on an X-Y plane and has a third size and a fourth size on an X-Z plane. A difference between the first size and the second size is about at least two orders in scale, and a difference between the third size and the fourth size is about at least two orders in scale.
    Type: Application
    Filed: August 9, 2011
    Publication date: October 18, 2012
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Liang-Ju Chien, Chi-Han Chiou
  • Patent number: 8288083
    Abstract: Some embodiments include methods in which spaced-apart first features are formed from a first material having a reflow temperature. Second material is formed along sidewalls of the first features, and third material is formed over the second material and the first features. The third material may be formed at a temperature above the reflow temperature of the first material, and the second material may support the first features so that the first features do not collapse even though they are exposed to such temperature. In some embodiments the third material has an undulating topography. Fourth material may be formed within the valleys of the undulating topography, and subsequently the first features may be removed together with at least some of the third material to leave a pattern comprising second features formed from the second material and pedestals formed from the fourth material.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: October 16, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Zishu Zhang, Anton deVilliers, Robert Carr, Farrell Good
  • Patent number: 8278028
    Abstract: The present invention relates to a material pattern, and mold using thereof, metal thin-film pattern, metal pattern, and method of forming the sames. A method of forming the material pattern according to the present invention comprises the steps of; (a) forming a photo-sensitive material film by coating a photo-sensitive material on a substrate; (b) deciding an exposure section on the photo-sensitive material film; (c) disposing a light refraction film and a light diffusion film at a route of light exposed on the photo-sensitive material film; and (d) forming a pattern on the photo-sensitive material film, by projecting a light on the exposure section of the photo-sensitive material film, wherein the light transmits the light refraction film and the light diffusion film.
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: October 2, 2012
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Jin-Wan Jeon, Jun-Bo Yoon, Koeng Su Lim
  • Patent number: 8273519
    Abstract: A hardmask composition includes a solvent and an organosilicon copolymer. The organosilicon copolymer may be represented by Formula A: (SiO1.5—Y—SiO1.5)x(R3SiO1.5)y??(A) wherein x and y may satisfy the following relations: x is about 0.05 to about 0.9, y is about 0.05 to about 0.9, and x+y=1, R3 may be a C1-C12 alkyl group, and Y may be a linking group including a substituted or unsubstituted, linear or branched C1-C20 alkyl group, a C1-C20 group containing a chain that includes an aromatic ring, a heterocyclic ring, a urea group or an isocyanurate group, or a C2-C20 group containing one or more multiple bonds.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: September 25, 2012
    Assignee: Cheil Industries, Inc.
    Inventors: Mi Young Kim, Sang Kyun Kim, Sang Hak Lim, Sang Ran Koh, Hui Chan Yun, Do Hyeon Kim, Dong Seon Uh, Jong Seob Kim
  • Publication number: 20120237857
    Abstract: The present invention relates to a photomask and a method for forming an overlay mark in a substrate using the same. The photomask comprises a plurality of patterns. At least one of the patterns comprises a plurality of ring areas and a plurality of inner areas enclosed by the ring areas, wherein the light transmittancy of the ring areas is different from that of the inner areas. When the photomask is applied in a photolithography process, the formed overlay mark has a large thickness. Therefore, the contrast is high when a metrology process is performed, and it is easy to find the overlay mark.
    Type: Application
    Filed: March 16, 2011
    Publication date: September 20, 2012
    Applicant: NANYA TECHNOLOGY CORP.
    Inventor: Chui Fu CHIU
  • Patent number: 8263320
    Abstract: The invention relates to a method for processing a substrate on which a target film is formed. The method includes forming a first film on the target layer; forming a second film on the first film, the second film being photosensitive; patterning the second film with a photolithography process; removing a portion of the first film selectively using the second film as a mask; removing a portion of the target film selectively using the second film as a mask; reducing the width of first film to an intended width by removing sidewall portions thereof; forming a third film at least on the first film and on the top of the target film; removing the first film and the second film; and removing a portion of the target film using the third film as a mask.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: September 11, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hidetami Yaegashi
  • Patent number: 8263317
    Abstract: At least one hollow zone is formed in a stack of at least one upper layer and one lower layer. The upper layer is patterned to form at least a first hollow region passing through said upper layer. The first hollow region is extended by a second hollow region formed in the lower layer by etching through an etching mask formed on the patterned upper layer. The etching mask is formed by a resin layer, positively photosensitive to an optic radiation of a predetermined wavelength, exposed to the said optic radiation through the stack and developed. The lower and upper layers of the stack are respectively transparent and opaque to said predetermined wavelength so that the patterned upper layer acts as exposure mask for the resin layer.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: September 11, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Alain Fargeix, Brigitte Martin
  • Patent number: 8263321
    Abstract: An antireflective hardmask composition includes an organic solvent, an initiator, and at least one polymer represented by Formulae A, B, or C as set forth in the specification.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: September 11, 2012
    Assignee: Cheil Industries, Inc.
    Inventors: Kyong Ho Yoon, Jong Seob Kim, Dong Seon Uh, Chang Il Oh, Kyung Hee Hyung, Min Soo Kim, Jin Kuk Lee
  • Patent number: 8257908
    Abstract: [Object] To provide a coating-type underlayer coating forming composition that is applied for multi-ply coating process by thin film resist in order to prevent collapse of resist pattern after development with miniaturization of resist pattern, and that shows a sufficient etching resistance against a semiconductor substrate to be processed on processing of the substrate by having a low dry etching rate compared with the photoresist and substrate. [Means for solving problems] A coating-type underlayer coating forming composition that is used for lithography process by multi-ply coating, comprising a polymer containing a vinylnaphthalene based structural unit and an acrylic acid based structural unit containing an aromatic hydroxy group or a hydroxy-containing ester. A coating-type underlayer coating forming composition further comprising an acrylic acid based structural unit containing an aliphatic cyclic compound-containing ester or an aromatic compound-containing ester.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: September 4, 2012
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Takahiro Sakaguchi, Tomoyuki Enomoto
  • Publication number: 20120219755
    Abstract: Provided are photoresist developer compositions that include a mixture of organic solvents. Also provided are methods of forming photolithographic patterns using negative tone development, coated substrates and electronic devices formed by the methods. The methods find particular applicability in the manufacture of electronic devices.
    Type: Application
    Filed: February 28, 2012
    Publication date: August 30, 2012
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Young Cheol Bae, Seung-Hyun Lee
  • Patent number: 8252151
    Abstract: In a layout method of a bridging electrode, the method includes the steps of: providing a substrate; forming a transparent electro-conductive layer on the substrate and the transparent electro-conductive layer having a plurality of neighboring patterned blocks; forming an alignment film layer on the substrate and the alignment film layer having a plurality of bridging grooves of a bridging insulation unit crossing between the patterned blocks; forming an electro-conductive layer on the substrate and the electro-conductive layer having a plurality of wires respectively disposed on the bridging grooves, wherein the wires of the electro-conductive layer being formed through an optical compensation mask in conjunction with at least one of over-exposure and over-development; and forming a protection layer on the substrate to enhance optical transmission and to protect the substrate, the transparent electro-conductive layer, the alignment film layer and the electro-conductive layer.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: August 28, 2012
    Inventor: Li-Li Fan
  • Publication number: 20120212816
    Abstract: Provided are a substrate including a base with a pattern on at least one side thereof, in which a refractive index in a lower region of the pattern and a refractive index in an upper region of the pattern are different from each other according to a shape of the pattern; and a water repellent coating layer provided on at least one side with the pattern of the base, an optical product including the same, and a manufacturing method of the substrate. The substrate according to the present invention has both an excellent anti-reflective property and an excellent water repellent property.
    Type: Application
    Filed: October 28, 2010
    Publication date: August 23, 2012
    Inventors: Tae-Su Kim, Jae-Jin Kim, Bu-Gon Shin, Young-Jun Hong, Hyeon Choi
  • Publication number: 20120208130
    Abstract: A method for manufacturing a structure includes forming a layer of photosensitive material above a substrate, disposing a mask above the layer of photosensitive material, shielding a portion of the layer of photosensitive material other than a first region of the layer of photosensitive material, exposing the first region, moving the mask along a surface of the layer of photosensitive material, shielding a portion of the layer of photosensitive material other than a second region that is a portion of the first region and a third region that is adjacent to the second region and is a portion of the region shielded in the step, and exposing the second region and the third region, and developing the layer of photosensitive material to form surfaces in the layer of photosensitive material at different heights along a direction in which the mask is moved.
    Type: Application
    Filed: January 20, 2012
    Publication date: August 16, 2012
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Takahiko YOSHIZAWA
  • Patent number: 8232211
    Abstract: Methods for producing self-aligned, self-assembled sub-ground-rule features without the need to use additional lithographic patterning. Specifically, the present disclosure allows for the creation of assist features that are localized and self-aligned to a given structure. These assist features can either have the same tone or different tone to the given feature.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: July 31, 2012
    Assignee: International Business Machines Corporation
    Inventors: Larry Clevenger, Timothy J. Dalton, Carl J. Radens
  • Patent number: 8227179
    Abstract: Techniques for manufacturing cross-structures of nanostructures, such as nanowires and carbon nanotubes are provided. In one embodiment, a method for manufacturing cross-structures of nanostructures include providing a substrate, patterning a first mask layer on the substrate, adsorbing first nanostructures onto surface regions of the substrate where the first mask layer does not exist, removing the first mask layer from the substrate, patterning a second mask layer on the substrate to which the first nanostructures are adsorbed, and adsorbing second nanostructures onto the surface regions of the substrate where the second mask layer does not exist, under conditions effective to manufacture cross-structures of nanostructures on the substrate.
    Type: Grant
    Filed: September 25, 2008
    Date of Patent: July 24, 2012
    Assignee: SNU R&DB Foundation
    Inventors: Seunghun Hong, Sung Young Park, Seon Namgung
  • Patent number: 8221963
    Abstract: A method for producing a fine structure includes: (a) forming a photosensitive film to cover a plurality of first convex portions formed in at least one surface of a substrate; (b) arranging liquid to cover the photosensitive film on the at least one surface of the substrate; (c) arranging a transparent parallel plate such that the parallel plate opposes the substrate via the liquid; (d) generating interference field by a laser beam to irradiate the interference field onto the photosensitive film via the parallel plate and the liquid; (e) removing the liquid and the parallel plate to develop the photosensitive film so as to form a photosensitive film pattern; and (f) etching the substrate using a mask of the photosensitive film pattern to form a plurality of fine convex portions smaller than the first convex portions on the at least one surface of the substrate.
    Type: Grant
    Filed: December 23, 2008
    Date of Patent: July 17, 2012
    Assignee: Seiko Epson Corporation
    Inventors: Jun Amako, Daisuke Sawaki
  • Publication number: 20120178027
    Abstract: A method. The method forms a film of photoresist composition on a substrate and exposes a first and second region of the film to radiation through a first and second mask having a first and second image pattern, respectively. The photoresist composition includes a polymer including labile group(s), base soluble group(s), a photosensitive acid generator, and a photosensitive base generator. The photosensitive acid generator generates first and second amounts of acid upon exposure to first and second doses of radiation, respectively. The second amount of acid exceeds the first amount of acid. The second dose of radiation exceeds the first dose of radiation. The photosensitive base generator generates a first and second amount of base upon exposure to the first and second dose of radiation, respectively. The first amount of base exceeds the first amount of acid. The second amount of acid exceeds the second amount of base.
    Type: Application
    Filed: March 13, 2012
    Publication date: July 12, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kuang-Jung Chen, Wu-Song Huang, Ranee Wai-Ling Kwong, Sen Liu, Pushkara R. Varanasi
  • Patent number: 8216772
    Abstract: An exemplary method for manufacturing mechanical shutter blades using a beryllium-copper substrate is provided. The method includes providing a beryllium-copper alloy substrate having a first surface and a second surface opposite to the first surface; respectively applying a first and second photoresist layers onto the first and second surfaces; exposing and developing the first and second photoresist layers, thereby first portions of the first photoresist layer and second portions of the second photoresist layer are left on the first and second surfaces while an unwanted portion of the substrate is exposed to an exterior, the first portions are aligned with the second portions; removing the unwanted portion of the substrate using a wet etching process; and removing the first and second portions from the remaining portion of the substrate.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: July 10, 2012
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Hsin-Hung Chuang
  • Patent number: 8216763
    Abstract: A photosensitive resin composition which has a quenching function and satisfactory long-term stability and which, in particular, can be prevented from suffering sensitivity abnormality caused by change with time during storage (change from given sensitivity); and a method of forming a pattern from the composition. The resist composition contains a base resin comprising, as the main component, a silicon-containing polymer which is a siloxane or silsesquioxane polymer or the like, the composition containing, as a quencher, a specific sulfonium compound in place of a nitrogenous compound.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: July 10, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Kazufumi Sato, Yasushi Fujii, Hisanobu Harada, Koji Yonemura, Isamu Takagi, Daisuke Kawana, Tomotaka Yamada, Toshikazu Takayama
  • Patent number: 8216774
    Abstract: A pattern is formed by coating a chemically amplified positive resist composition comprising a resin comprising acid labile group-containing recurring units and a photoacid generator onto a substrate, drying to form a resist film, exposing the resist film to high-energy radiation through a phase shift mask including a lattice-like first shifter and a second shifter arrayed on the first shifter and consisting of lines which are thicker than the line width of the first shifter, PEB, developing to form a positive pattern, illuminating or heating the positive pattern to eliminate acid labile groups for increasing alkaline solubility and to induce crosslinking for imparting solvent resistance, coating a reversal film, and dissolving away the positive pattern in an alkaline wet etchant to form a pattern by way of positive/negative reversal.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: July 10, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8211621
    Abstract: The present invention discloses novel bottom anti-reflective coating compositions where a coating from the composition has an etch rate that can be regulated by the etch plate temperature.
    Type: Grant
    Filed: June 10, 2010
    Date of Patent: July 3, 2012
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan, Mark Neisser
  • Patent number: 8211627
    Abstract: A method and to an apparatus for structuring a radiation-sensitive material are disclosed. The method can include using a dynamic mask to generate a first radiation pattern in a layer of the radiation-sensitive material, where the first radiation pattern has a thickness that is at most 50% of the thickness of the layer of the radiation-sensitive material. The method can also include using the dynamic mask to generate a second radiation pattern in the layer of the radiation-sensitive material. The dynamic mask can be configured to change its structure dynamically, and the first radiation pattern can be different from the second radiation pattern.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: July 3, 2012
    Assignee: Carl Zeiss SMT GmbH
    Inventor: Heiko Feldmann
  • Patent number: 8206895
    Abstract: According to an aspect of the present invention, there is provided a method for forming a pattern including: applying a photosensitive resin onto a film on a wafer substrate; partly exposing the photosensitive resin to light and developing the photosensitive resin to form a first pattern having an opening portion; applying a photo-curable material onto the film exposed by the opening portion of the first pattern; bringing one face of an optically-transmissive template having a second pattern formed on the one face into contact with the photo-curable material, the second pattern including projections and reentrants; irradiating the photo-curable material with light; and separating the template from the photo-curable material.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: June 26, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ikuo Yoneda, Shunko Magoshi
  • Patent number: 8202678
    Abstract: The present invention discloses an antireflective coating composition for applying between a substrate surface and a positive photoresist composition. The antireflective coating composition is developable in an aqueous alkaline developer. The antireflective coating composition comprises a polymer, which comprises at least one monomer unit containing one or more moieties selected from the group consisting of a lactone, maleimide, and an N-alkyl maleimide; and at least one monomer unit containing one or more absorbing moieties. The polymer does not comprise an acid labile group. The present invention also discloses a method of forming and transferring a relief image by using the inventive antireflective coating composition in photolithography.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: June 19, 2012
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung J. Chen, Mahmoud Khojasteh, Ranee Wai-Ling Kwong, Margaret C. Lawson, Wenjie Li, Kaushal S. Patel, Pushkara R. Varanasi
  • Patent number: 8202436
    Abstract: Methods for preparing one or more conductive nanostructures are provided. In accordance with one embodiment, a method for preparing one or more conductive nanostructures may include providing a composite of nanoparticles and block copolymer including one or more first microdomains and one or more second microdomains, where conductive nanoparticles are selectively distributed in the one or more first microdomains, removing the first microdomains while leaving the conductive nanoparticles in the composite, forming one or more conductive nanostructures on the conductive nanoparticles, and removing the second microdomains.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: June 19, 2012
    Assignee: Korea University Research and Business Foundation
    Inventor: Kwangyeol Lee
  • Patent number: 8198014
    Abstract: To provide a material including: a silicon-containing polymer having at least an alkali-soluble group and is represented by the following general formula (1); and an organic solvent capable of dissolving the silicon-containing polymer. (SiO4/2)a(R1tSiO(4-t)/2)b(O1/2R2)c??general formula (1) where R1 represents at least one of a monovalent organic group, hydrogen atom and hydroxyl group, R2 represents at least one of a monovalent organic group and hydrogen atom (where R1 and R2 each may appear twice or more, and at least one of R1 and R2 contains an alkali-soluble group), “t” represents an integer of 1 to 3, “a,” “b,” and “c” represent the relative proportions of their units (where a?0, b?0 and c?0, and “a,” “b,” and “c” are not 0 at the same time), and (R1tSiO(4-t)/2)b may appear twice or more.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: June 12, 2012
    Assignee: Fujitsu Limited
    Inventors: Miwa Kozawa, Koji Nozaki, Takahisa Namiki
  • Patent number: 8198016
    Abstract: The present invention provides a patterning process, in which a resistance with regard to an organic solvent used for a composition for formation of a reverse film is rendered to a positive pattern to the degree of necessity and yet solubility into an alkaline etching liquid is secured, thereby enabling to finally obtain a negative image by a positive-negative reversal by performing a wet etching using an alkaline etching liquid. A resist patterning process of the present invention using a positive-negative reversal comprises at least a step of forming a resist film by applying a positive resist composition; a step of obtaining a positive pattern by exposing and developing the resist film; a step of crosslinking the positive resist pattern thus obtained; a step of forming a reverse film; and a step of reversing the positive pattern to a negative pattern by dissolving into an alkaline wet-etching liquid for removal.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: June 12, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Tsutomu Ogihara, Mutsuo Nakashima, Kazuhiro Katayama
  • Patent number: 8197991
    Abstract: An exposure mask provides a minute pattern formation which enables the high integration of semiconductor devices by preventing the generation of a scum in a space between a first pattern and a second pattern. The exposure mask includes a first pattern and a second pattern adjacent to the first pattern. A space is formed between the first pattern and the second pattern. The first pattern and the second pattern may each include a square wave shaped edge that is adjacent to the space. The square wave shaped edge includes a plurality of concave portions and convex portions.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: June 12, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae In Moon
  • Patent number: 8192921
    Abstract: A pattern is formed by coating a chemically amplified positive resist composition comprising a resin comprising acid labile group-containing recurring units and a photoacid generator onto a substrate, drying to form a resist film, exposing the resist film to high-energy radiation through a phase shift mask having a lattice-like array of shifters, PEB, developing to form a positive pattern, illuminating or heating the positive pattern to eliminate acid labile groups for increasing alkaline solubility and to induce crosslinking for imparting solvent resistance, coating a reversal film, and dissolving away the positive pattern in an alkaline wet etchant to form a pattern by way of positive/negative reversal.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: June 5, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Kazuhiro Katayama
  • Patent number: 8182978
    Abstract: Compositions characterized by the presence of an aqueous base-soluble polymer having aromatic moieties and aliphatic alcohol moieties have been found which are especially useful as developable bottom antireflective coatings in 193 nm lithographic processes. The compositions enable improved lithographic processes which are especially useful in the context of subsequent ion implantation or other similar processes where avoidance of aggressive antireflective coating removal techniques is desired.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: May 22, 2012
    Assignee: International Business Machines Corporation
    Inventors: Wu-Song Huang, Libor Vyklicky, Pushkara Rao Varanasi
  • Publication number: 20120122035
    Abstract: A patterning method and a method for fabricating a dual damascene opening are described, wherein the patterning method includes following steps. An organic layer, a silicon-containing mask layer and a patterned photoresist layer are formed on a material layer in sequence. The silicon-containing mask layer is removed using the patterned photoresist layer as a mask. A reactive gas is used for conducting an etching step so as to remove the organic layer with the silicon-containing mask layer as a mask, wherein the reactive gas contains no oxygen species. The material layer is removed using the organic layer as a mask, so that an opening is formed in the material layer. The organic layer is then removed.
    Type: Application
    Filed: November 16, 2010
    Publication date: May 17, 2012
    Applicant: United Microelectronics Corp.
    Inventors: MING-DA HSIEH, Yu-Tsung Lai, Jiunn-Hsiung Liao