Including Material Deposition Patents (Class 430/324)
  • Patent number: 8722286
    Abstract: A device for reflective electron-beam lithography and methods of producing the same are described. The device includes a substrate, a plurality of conductive layers formed on the substrate, which are parallel to each other and separated by insulating pillar structures, and a plurality of apertures in each conductive layer. Apertures in each conductive layer are vertically aligned with the apertures in other conductive layers and a periphery of each aperture includes conductive layers that are suspended.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: May 13, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Jaw-Jung Shin, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 8722535
    Abstract: According to one embodiment, a pattern forming method is disclosed. The method can include forming an insulating layer on a major surface of a substrate. The method can include forming first and second openings on the insulating layer. The first opening has a first length in a first direction along the major surface, and the second opening has a second length longer than the first length in the first direction. The method can include forming a first pattern in the first opening. The method can include forming a second pattern in the second opening. The method can include forming a self-assembled material film contacting the insulating layer, the first pattern and the second pattern. The method can include forming a third pattern with guidance of the second pattern. In addition, the method can include forming a fourth pattern contacting the first pattern based on the third pattern.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: May 13, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masafumi Asano
  • Publication number: 20140116980
    Abstract: Methods are disclosed for depositing a template for directed self-assembly of a self-assemblable block polymer on a surface of a substrate. The method involves providing a chemical epitaxy pattern of alternating first and second regions having differing chemical affinities for first and second blocks of the polymer on the surface by photolithography, and providing spaced graphoepitaxy features on the surface by photolithography. The chemical epitaxy pattern is aligned with and located between pairs of spaced graphoepitaxy features. The spaced graphoepitaxy features and chemical epitaxy pattern are arranged to act together to direct self-assembly of the self-assemblable block copolymer. The resulting template may be used to direct self-assembly of a suitable self-assemblable polymer and the resulting aligned and oriented self-assembled polymer may itself be used as a resist for lithography of the substrate.
    Type: Application
    Filed: June 14, 2012
    Publication date: May 1, 2014
    Applicant: ASML Netherlands B.V.
    Inventor: Sander Frederik Wuister
  • Patent number: 8703406
    Abstract: A method of forming a master from smaller originals is provided for use in replicating molecular transfer lithography (M×L) templates, cured polymer films for imprinting molds or cured polymer films for photonic applications. A coating layer on a base substrate is successively patterned in two or more areas using dissoluble conformal templates created from original master patterns, wherein areas not being patterned with a template at any given stage of the process are protected with photoresist and templates applied to open areas also partially overlap the resist-protected areas. Overlapping minimizes seam formation in the overall pattern. Templates have etch-resistant functional material that adheres to the coating layer on the base substrate. After dissolving the template to leave only the functional material in the pattern of the original master, etching of the coating layer transfers the pattern to the etched coating layer of the base substrate.
    Type: Grant
    Filed: July 12, 2012
    Date of Patent: April 22, 2014
    Assignee: Transfer Devices Inc.
    Inventor: Charles D. Schaper
  • Patent number: 8703407
    Abstract: According to one embodiment, a pattern formation method contains: forming first guides by changing a surface energy of an underlayer material by transferring a pattern of a photomask onto the underlayer material by exposure, and forming second guides by changing the surface energy of the underlayer material between the first guides by diffraction of exposure light generated from the exposure; applying a block copolymer containing a plurality of types of polymer block chains onto the underlayer material; and causing any one of the polymer block chains to form a pattern in accordance with the first and second guides by microphase separation of the block copolymer by a heat treatment.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: April 22, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuriko Seino, Yukiko Kikuchi
  • Patent number: 8685627
    Abstract: A method for manufacturing a semiconductor device includes forming an etch-target layer over a semiconductor substrate having a lower structure, forming a first mask pattern over the etch-target layer, forming a spacer material layer with a uniform thickness over the etch-target layer including the first mask pattern, forming a second mask pattern on an indented region of the space material layer, and etching the etch-target layer with the first mask pattern and the second mask pattern as an etch mask to form a fine pattern.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: April 1, 2014
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Lyoung Lee, Cheol Kyu Bok, Keum Do Ban, Jung Gun Heo
  • Publication number: 20140057104
    Abstract: The invention relates to a process for fabricating a high-precision object made of at least one inorganic material, comprising the following steps: using a high-resolution photolithography process, employing X-rays or UV rays depending on the desired degree of precision, in a chosen direction Z, to form a negative mould, which does not deform at the microscale during the steps of the process, in a material able to withstand a step for forming the object by dry deposition and capable of either being removed without altering the object fabricated or being separated from said object; choosing, independently of the normal redox potential of its constituent elements, at least one inorganic material from the set of materials that can be deposited by dry deposition and that allow the object to be fabricated to meet its thermomechanical and environmental specifications; and forming, by means of the non-deformable negative mould, the object to be fabricated by dry deposition of said at least one inorganic material, th
    Type: Application
    Filed: December 26, 2011
    Publication date: February 27, 2014
    Inventors: Stéphane Landais, Fayçal Bouamrane, Thomas Bouvet, Olivier Dessornes, Pierre Josso, Stéphan Megtert, Valle Roger
  • Publication number: 20140051027
    Abstract: A system and method for forming encoded microparticles is described. One embodiment includes a method for forming a microparticle, the method comprising providing a pattern, wherein the pattern defines a code element, printing the pattern on a substrate to form a first code element within a microparticle region, printing the pattern on the substrate to form at least one successive code element, such that the first code element and the at least one successive code element are within the same microparticle region, wherein a code is formed by the first code element and any successive code elements.
    Type: Application
    Filed: January 11, 2013
    Publication date: February 20, 2014
    Applicant: AFFYMETRIX, INC.
    Inventor: AFFYMETRIX, INC.
  • Patent number: 8647817
    Abstract: A method for patterning a substrate is described. The method includes forming a layer of radiation-sensitive material on a substrate, and preparing a pattern in the layer of radiation-sensitive material using a lithographic process, wherein the pattern is characterized by a critical dimension (CD) and a roughness. Following the preparation of the pattern in the layer of radiation-sensitive material, the method further includes performing a CD slimming process to reduce the CD to a reduced CD, and performing a vapor smoothing process to reduce the roughness to a reduced roughness.
    Type: Grant
    Filed: January 3, 2012
    Date of Patent: February 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shannon W. Dunn, Dave Hetzer
  • Patent number: 8642252
    Abstract: Methods for producing air gap-containing metal-insulator interconnect structures for VLSI and ULSI devices using a photo-patternable low k material as well as the air gap-containing interconnect structure that is formed are disclosed. More particularly, the methods described herein provide interconnect structures built in a photo-patternable low k material in which air gaps are defined by photolithography in the photo-patternable low k material. In the methods of the present invention, no etch step is required to form the air gaps. Since no etch step is required in forming the air gaps within the photo-patternable low k material, the methods disclosed in this invention provide highly reliable interconnect structures.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: February 4, 2014
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Maxime Darnon, Satyanarayana V. Nitta, Anthony D. Lisi, Qinghuang Lin
  • Publication number: 20140004465
    Abstract: A resist underlayer film forming composition for lithography, includes: a polymer including a structure of formula (1) below at a terminal of a polymer chain; a cross-linking agent; a compound that promotes a cross-linking reaction; and an organic solvent: (where R1, R2, and R3 are each independently a hydrogen atom, a linear or branched hydrocarbon group having a carbon atom number of 1 to 13, or a hydroxy group; at least one of R1, R2, and R3 is the hydrocarbon group; m and n are each independently 0 or 1; and a main chain of the polymer is bonded to a methylene group when n is 1 and bonded to a group represented by —O— when n is 0).
    Type: Application
    Filed: March 8, 2012
    Publication date: January 2, 2014
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Ryuji Ohnishi, Takafumi Endo, Rikimaru Sakamoto
  • Publication number: 20140004712
    Abstract: The present invention relates to a developable bottom antireflective coating (BARC) composition and a pattern forming method using the BARC composition. The BARC composition includes a first polymer having a first carboxylic acid moiety, a hydroxy-containing alicyclic moiety, and a first chromophore moiety; a second polymer having a second carboxylic acid moiety, a hydroxy-containing acyclic moiety, and a second chromophore moiety; a crosslinking agent; and a radiation sensitive acid generator. The first and second chromophore moieties each absorb light at a wavelength from 100 nm to 400 nm. In the patterning forming method, a photoresist layer is formed over a BARC layer of the BARC composition. After exposure, unexposed regions of the photoresist layer and the BARC layer are selectively removed by a developer to form a patterned structure in the photoresist layer. The BARC composition and the pattern forming method are especially useful for implanting levels.
    Type: Application
    Filed: June 29, 2012
    Publication date: January 2, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kuang-Jung Chen, Steven J. Holmes, Wu-Song Huang, Ranee Kwong, Sen Liu
  • Patent number: 8609323
    Abstract: A method of forming ceramic pattern structures of silicon carbide film includes depositing an electron-beam resist or a photo-resist onto a substrate. A portion of the resist is selectively removed from the substrate to form a resist pattern on the substrate. A film of pre-ceramic polymer that includes silicon and carbon is deposited onto the substrate and resist pattern and the pre-ceramic polymer film is cured. A portion of the cured pre-ceramic polymer film on the resist pattern is removed, thereby forming a pre-ceramic polymer pattern on the substrate. The pre-ceramic polymer pattern is then converted to a ceramic pattern.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: December 17, 2013
    Assignee: University of Massachusetts
    Inventors: Joel M. Therrien, Daniel F. Schmidt
  • Publication number: 20130323652
    Abstract: Methods of preparing organosilane-functionalized regions on a substrate surface and more specifically fabricating patterned functionalized substrates suitable to be optically read, the methods generally comprising employing a vapor deposition process of an organosilane gas onto a lithographically patterned silicon surface followed by removal of the patterning media in a bath of organic solvents and ultrasonic excitation. The inventive methods provide optimized surface density of functional species while avoiding deleterious effects that can occur when lithographically patterned substrates are exposed to various gaseous species during the functionalization process.
    Type: Application
    Filed: June 5, 2012
    Publication date: December 5, 2013
    Applicant: Complete Genomics, Inc.
    Inventors: Andres Fernandez, Shaunak Roy, Jay Shafto, Norman L. Burns, Claudia Richter, Pierre F. Indermuhle
  • Patent number: 8597872
    Abstract: A method is provided for production of a medical marker (4) made of an X-ray-opaque material, including the following steps: a) photolithographic application of a mask (31) on a substrate (1); b) deposition of the X-ray-opaque material (40) of the marker (4) on the substrate (1); c) removal of the mask (31); and d) elimination of the substrate (1).
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: December 3, 2013
    Assignee: Heraeus Precious Metals GmbH & Co. KG
    Inventors: Heiko Specht, Frank Krüger
  • Patent number: 8563229
    Abstract: Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: October 22, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 8562846
    Abstract: A mold capable of a highly accurate alignment with a member to be processed in such a state that a photocurable resin material is disposed between the mold and the member to be processed, and is constituted by a substrate 2010 formed of a first material and an alignment mark 2102 formed of a second material different from the first material. The first material and the second material have transmissivities to light in a part of an ultraviolet wavelength range. The second material has a refractive index of not less than 1.7.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: October 22, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsunori Terasaki, Junichi Seki, Nobuhito Suehira, Hideki Ina, Shingo Okushima
  • Patent number: 8546068
    Abstract: In one example embodiment, a method fabricates microbeads, which can supply a bead set containing a various types of microbeads and having distinct populations of the respective types of microbeads. In one example embodiment, the method includes forming a hydrophilic layer made of a hydrophilic organic material on a substrate. In one example embodiment, the method includes laminating on the hydrophilic layer a thin film capable of being peeled off in the form of microbeads. In one example embodiment, the method includes forming the thin film in a given configuration by photolithography. In one example embodiment, the method includes solid-phasing a given substance on the post-formed thin films. In one example embodiment, the method includes peeling off the post-formed thin films, which have been solid-phased with the substance, from the substrate along with at least a part of the hydrophilic layer to obtain microbeads.
    Type: Grant
    Filed: September 4, 2009
    Date of Patent: October 1, 2013
    Assignee: Sony Corporation
    Inventors: Mari Ichimura, Kenzo Machida, Noriyuki Kishii, Masanobu Tanaka
  • Publication number: 20130230809
    Abstract: There is provided a composition for forming a resist underlayer film that has a high selectivity of dry etching rate even though the composition contains an aromatic ring such as a benzene ring, and that is useful in lowering LER that presents a large problem in EUV (wavelength 13.5 nm) lithography. Moreover, another object is to obtain a composition for forming a resist underlayer film that provides a resist pattern having a desired shape on the resist underlayer film. A resist underlayer film forming composition for lithography which includes a polymer and a solvent, wherein in the polymer, diphenyl sulfone or a derivative thereof is introduced in the main chain of the polymer through an ether bond.
    Type: Application
    Filed: November 11, 2011
    Publication date: September 5, 2013
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Rikimaru Sakamoto, Noriaki Fujitani, Takafumi Endo, Ryuji Ohnishi, BangChing Ho
  • Publication number: 20130224665
    Abstract: Methods and apparatus for performing an atomic layer deposition lithography process are provided in the present disclosure. In one embodiment, a method for forming features on a material layer in a device includes pulsing a first reactant gas mixture to a surface of a substrate disposed in a processing chamber to form a first monolayer of a material layer on the substrate surface, directing an energetic radiation to treat a first region of the first monolayer, and pulsing a second reactant gas mixture to the substrate surface to selectively form a second monolayer on a second region of the first monolayer.
    Type: Application
    Filed: February 8, 2013
    Publication date: August 29, 2013
    Inventors: Banqiu Wu, Ajay Kumar, Omkaram Nalamasu
  • Patent number: 8519017
    Abstract: A catalyst precursor resin composition includes an organic polymer resin; a fluorinated-organic complex of silver ion; a monomer having multifunctional ethylene-unsaturated bonds; a photoinitiator; and an organic solvent. The metallic pattern is formed by forming catalyst pattern on a base using the catalyst precursor resin composition reducing the formed catalyst pattern, and electroless plating the reduced catalyst pattern. In the case of forming metallic pattern using the catalyst precursor resin composition, a compatibility of catalyst is good enough not to make precipitation, chemical resistance and adhesive force of the formed catalyst layer are good, catalyst loss is reduced during wet process such as development or plating process, depositing speed is improved, and thus a metallic pattern having good homogeneous and micro pattern property may be formed after electroless plating.
    Type: Grant
    Filed: May 15, 2008
    Date of Patent: August 27, 2013
    Assignee: LG Chem, Ltd.
    Inventors: Min Kyoun Kim, Min Jin Ko, Sang Chul Lee, Jeong Im Roh
  • Publication number: 20130216956
    Abstract: There is provided a composition for forming a monolayer or a multilayer on the substrate. A composition for forming a monolayer or a multilayer containing a silane compound of Formula (1A) or Formula (1B): [where R1s are independently a methyl group or an ethyl group; Xs are independently a C1-10 linking group; and Zs are independently a C1-10 alkyl group or a phenyl group optionally having a substituent, where X optionally contains at least one oxygen atom or sulfur atom in the main chain thereof, and when Z is an alkyl group, at least one hydrogen atom of the alkyl group is optionally substituted with a fluorine atom] and an organic solvent.
    Type: Application
    Filed: October 7, 2011
    Publication date: August 22, 2013
    Applicant: NISSAN CHEMICAL INDUSTRIES, LTD.
    Inventors: Takahiro Kishioka, Daisuke Sakuma, Shigeo Kimura, Hirokazu Nishimaki, Tomoya Ohashi, Yuki Usui
  • Publication number: 20130209941
    Abstract: A method of forming a pattern including applying a resist composition to a substrate to form a resist film, and then subjecting the resist film to exposure and development, thereby forming a first pattern containing a resist film; forming a SiO2 film on the surface of the first pattern and the substrate; subjecting the SiO2 to etching such that the SiO2 film remains only on a side wall portion of the first pattern; and removing the first pattern, thereby forming a second pattern containing the SiO2 film. Thr resist composition contains a base component that exhibits changed solubility in a developing solution under action of an acid, and an acid generator component that generates acid upon exposure, the base component containing a resin component containing a structural unit having an acid decomposable group which exhibits increased polarity by the action of acid and has no polycyclic group.
    Type: Application
    Filed: February 5, 2013
    Publication date: August 15, 2013
    Applicants: TOKYO ELECTRON LIMITED, TOKYO OHKA KOGYO CO., LTD.
    Inventors: TOKYO OHKA KOGYO CO., LTD, TOKYO ELECTRON LIMITED
  • Publication number: 20130193065
    Abstract: In accordance with the invention, there is a method of forming a nanochannel including depositing a photosensitive film stack over a substrate and forming a pattern on the film stack using interferometric lithography. The method can further include depositing a plurality of silica nanoparticles to form a structure over the pattern and removing the pattern while retaining the structure formed by the plurality of silica nanoparticles, wherein the structure comprises an enclosed nanochannel.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 1, 2013
    Applicant: STC.UNM
    Inventors: Steven R.J. Brueck, Deying Xia, Yuliya Kuznetsova, Alexander Neumann
  • Publication number: 20130189623
    Abstract: The present invention relates to a positive type photosensitive resin composition and an organic light emitting device black bank comprising the same, and more particularly, an organic light emitting device black bank comprising the photosensitive resin composition according to the exemplary embodiment of the present invention may further have a function of a black matrix without an additional process, such that it is possible to simplify a manufacturing process of the organic light emitting device and largely improve visibility.
    Type: Application
    Filed: July 12, 2011
    Publication date: July 25, 2013
    Applicant: LG CHEM, LTD.
    Inventors: Sang-Woo Kim, Se-Jin Shin, Kyung-Jun Kim
  • Patent number: 8486613
    Abstract: According to an example embodiment of the present invention, a photoresist pattern is formed on a base substrate including a neutral layer. A sacrifice structure including a first sacrifice block and a second sacrifice block is formed on the base substrate having the photoresist pattern, and the sacrifice structure is formed from a first thin film including a first block copolymer. Thus, a chemical pattern is formed to form a nano-structure. Therefore, the nano-structure may be easily formed on a substrate having a large size by using a block copolymer, and productivity and manufacturing reliability may be improved.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: July 16, 2013
    Assignees: Samsung Electronics Co., Ltd., Korea Advanced Institute of Science and Technology
    Inventors: Sang-Ouk Kim, Seong-Jun Jeong, Su-Mi Lee, Bong-Hoon Kim, Ji-Eun Kim, Jae-Ho You, Moon-Gyu Lee, Seung-Ho Nam
  • Patent number: 8481245
    Abstract: A pattern clean-up for fabrication of patterned media using a forced assembly of molecules is disclosed. E-beam lithography is initially used to write the initial patterned bit media structures, which have size and positioning errors. Nano-sized protein molecules are then forced to assemble of on top of the bits. The protein molecules have a very uniform size distribution and assemble into a lattice structure above the e-beam patterned areas. The protein molecules reduce the size and position errors in e-beam patterned structures. This process cleans the signal from the e-beam lithography and lowers the noise in the magnetic reading and writing. This process may be used to fabricate patterned bit media directly on hard disk, or to create a nano-imprint master for mass production of patterned bit media disks.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: July 9, 2013
    Assignee: HGST Netherlands B.V.
    Inventors: Qing Dai, Dan Saylor Kercher, Huey-Ming Tzeng
  • Patent number: 8476002
    Abstract: Some embodiments include methods in which spaced-apart first features are formed from a first material having a reflow temperature. Second material is formed along sidewalls of the first features, and third material is formed over the second material and the first features. The third material may be formed at a temperature above the reflow temperature of the first material, and the second material may support the first features so that the first features do not collapse even though they are exposed to such temperature. In some embodiments the third material has an undulating topography. Fourth material may be formed within the valleys of the undulating topography, and subsequently the first features may be removed together with at least some of the third material to leave a pattern comprising second features formed from the second material and pedestals formed from the fourth material.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: July 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Zishu Zhang, Anton J. deVilliers, Robert Carr, Farrell Good
  • Publication number: 20130164690
    Abstract: The present invention provides a silicon oxynitride film formation method capable of reducing energy cost, and also provides a substrate equipped with a silicon oxynitride film formed thereby. This method comprises the steps of: casting a film-formable coating composition containing a polysilazane compound on a substrate surface to form a coat; drying the coat to remove excess of the solvent therein; and then irradiating the dried coat with UV light at a temperature lower than 150° C.
    Type: Application
    Filed: August 10, 2011
    Publication date: June 27, 2013
    Applicant: AZ Electronic Materials USA Corp.
    Inventors: Ninad Shinde, Tatsuro Nagahara, Yusuke Takano
  • Patent number: 8445188
    Abstract: A photolithography method of patterning photoresist involves disposing a two-dimensional array of focusing particles of spherical or other shape on the photoresist and illuminating the particles on the photoresist to generate deep, sub-wavelength patterns on the photoresist. When developed, a positive photoresist layer generates a two-dimensional array of micro- or nano-holes on the developed photoresist. When developed, a negative photoresist layer generates a two-dimensional array of micro- or nano-pillars on the developed photoresist.
    Type: Grant
    Filed: September 14, 2009
    Date of Patent: May 21, 2013
    Assignee: National Science Foundation
    Inventor: Hooman Mohseni
  • Patent number: 8431330
    Abstract: A surface-treating agent for forming a resist pattern, includes: a compound represented by formula (1) as defined in the specification, wherein the surface-treating agent is used in a step between a formation of a first resist pattern on a first resist film and a formation of a second resist film on the first resist pattern to form a second resist pattern, and a pattern-forming method uses the surface-treating agent.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: April 30, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Wataru Hoshino, Hideaki Tsubaki, Masahiro Yoshidome
  • Patent number: 8426105
    Abstract: A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist-modifying composition comprises a carbamate compound and a solvent.
    Type: Grant
    Filed: May 25, 2010
    Date of Patent: April 23, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Masashi Iio, Kazuhiro Katayama, Jun Hatakeyama, Tsunehiro Nishi, Takeshi Kinsho
  • Patent number: 8426121
    Abstract: In accordance with the invention, there are methods for self-aligned spatial frequency doubling in one dimension and also in two dimension. The method for self-aligned spatial frequency doubling in one dimension can include forming a film stack over a substrate, wherein the film stack comprises a photoresist layer and forming a one-dimensional periodic first pattern having a first pitch p on the photoresist layer using an optical exposure, wherein the first pitch p is at least smaller than twice the bandpass limit for optical exposures. The method can also include forming a second pattern using the first pattern by nonlinear processing steps, wherein the second pattern has a second pitch p2=p/2.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: April 23, 2013
    Assignee: STC.UNM
    Inventors: Steven R. J. Brueck, Andrew Frauenglass, Alexander K. Raub, Dong Li
  • Patent number: 8420299
    Abstract: It is therefore an object of the present invention to provide a forming method for a resist pattern to reduce a resist residue in forming the resist pattern on a step whose gradient angle is equal to 90 degrees or more. A forming method for a resist pattern to reduce a resist residue on a step is provided, the method comprising: forming resist film with coating resist containing photo-acid-generator on a step formed on a substrate, where gradient angle of the step is equal to 90 degrees or more, exposing said resist film and generating acid from said photo-acid-generator.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: April 16, 2013
    Assignee: TDK Corporation
    Inventors: Hisayoshi Watanabe, Susumu Aoki
  • Patent number: 8409457
    Abstract: A method of forming a photoresist-comprising pattern on a substrate includes forming a patterned first photoresist having spaced first masking shields in at least one cross section over a substrate. The first masking shields are exposed to a fluorine-containing plasma effective to form a hydrogen and fluorine-containing organic polymer coating about outermost surfaces of the first masking shields. A second photoresist is deposited over and in direct physical touching contact with the hydrogen and fluorine-containing organic polymer coating. The second photoresist which is in direct physical touching contact with the hydrogen and fluorine-containing organic polymer coating is exposed to a pattern of actinic energy and thereafter spaced second masking shields are formed in the one cross section which comprise the second photoresist and correspond to the actinic energy pattern. The first and second masking shields together form at least a part of a photoresist-comprising pattern on the substrate.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: April 2, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Zishu Zhang, Hongbin Zhu, Anton deVilliers, Alex Schrinsky
  • Patent number: 8377631
    Abstract: Molecular glass based planarizing compositions for lithographic processing are disclosed. The processes generally include casting the planarizing composition onto a surface comprised of lithographic features, the planarizing composition comprising at least one molecular glass and at least one solvent; and heating the planarizing composition to a temperature greater than a glass transition temperature of the at least one molecular glass. Exemplary molecular glasses include polyhedral oligomeric silsesquioxane derivatives, calixarenes, cyclodextrin derivatives, and other non-polymeric large molecules.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Mark W. Hart, Ratnam Sooriyakumaran
  • Patent number: 8367310
    Abstract: A patterning process includes (1) coating and baking a first positive resist composition to form a first resist film, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) applying a resist-modifying composition to the first resist pattern and heating to modify the first resist pattern, (3) coating and baking a second positive resist composition to form a second resist film, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The modified first resist film has a contact angle with pure water of 50°-85°.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: February 5, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Masashi Iio, Jun Hatakeyama, Tsunehiro Nishi, Yoshio Kawai
  • Patent number: 8367311
    Abstract: Provided is a fabrication method with which a laminate having a hollow structure can be produced more easily, while enabling to produce a multilayer structure as well. That is, a method for producing a hollow structure, a fabrication method by stacking-up a structural material among fabrication methods of a hollow structure on a substrate, the method including; a step of forming a structural material layer on a substrate, a step of forming a pattern on the structural material layer, a step of forming a sacrificial material layer by burying between the patterns with a water-soluble or an alkaline-soluble polymer as the sacrificial material to be buried between the patterns, a step of further laminating a structural material layer and forming a pattern on the structural material layer laminated, and a step of finally removing the sacrificial material after all of lamination is completed.
    Type: Grant
    Filed: October 21, 2010
    Date of Patent: February 5, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Wataru Kusaki, Toshinobu Ishihara
  • Publication number: 20130029130
    Abstract: Provided are a method for fabricating a porous carbon structure using optical interference lithography, and a porous carbon structure fabricated by same, wherein the method for fabricating a porous carbon structure using optical light interference lithography includes: forming a photoresist layer on a substrate; irradiating a three-dimensional optical interference pattern onto the photoresist formed using three-dimensional optical interference lithography to form a three-dimensional porous photoresist pattern; coating the formed three-dimensional porous photoresist pattern with an inorganic material; heating the photoresist pattern on which the inorganic material is coated to carbonize the pattern; and removing the coated inorganic material.
    Type: Application
    Filed: April 15, 2010
    Publication date: January 31, 2013
    Applicant: Industry-University Cooperation Foundation Sogang University
    Inventors: Jun Hyuk Moon, Woo Min Jin, Juhwan Shin
  • Publication number: 20130022830
    Abstract: A bumping process comprises steps of forming a metal layer with copper on a substrate, and the metal layer with copper comprises a plurality of first zones and second zones; forming a photoresist layer on the metal layer with copper; patterning the photoresist layer to form a plurality of openings; forming a plurality of copper bumps within the openings, each of the copper bumps covers the first zones and comprises a first top surface; forming a connection layer on the first top surface; removing the photoresist layer; removing the second zones and enabling each of the first zones to form an under bump metallurgy layer, wherein the under bump metallurgy layer, the copper bump, and the connection layer possess their corresponded peripheral walls, and covering sections of a first protective layer formed on the connection layer may cover those peripheral walls to prevent ionization phenomenon.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 24, 2013
    Applicant: CHIPBOND TECHNOLOGY CORPORATION
    Inventors: Chin-Tang Hsieh, Chih-Ming Kuo
  • Patent number: 8329386
    Abstract: A method of selectively positioning nanostructures on a substrate is provided which includes: a first step of forming a photoresist pattern on the substrate and then control the line width of the photoresist pattern in a nano unit to form a nanometer photoresist layer; a second step of forming a protective layer for preventing adsorption of a nano-material in a patter-unformed area on the substrate on which the nanometer photoresist layer has been formed; a third step of removing the photoresist layer formed on the substrate; a fourth step of forming a positively-charged or negatively charged adsorbent layer in the area from which the photoresist layer has been removed; and a fifth step of applying a nano-material-containing solution charged in the opposite polarity of the adsorbent layer to the substrate on which the adsorbent layer has been formed.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: December 11, 2012
    Assignee: Sungkyunkwan University Foundation for Corporate Collaboration
    Inventors: Byung You Hong, Hyung Jin Kim, Yong Han Roh
  • Patent number: 8329366
    Abstract: A method is described for alignment of a substrate during a double patterning process. A first resist layer containing at least one alignment mark is formed on the substrate. After the first resist layer is developed, a second resist layer is deposited over the first resist layer, leaving a planar top surface (i.e., without topography). By baking the second resist layer appropriately, a symmetric alignment mark is formed in the second resist layer with little or no offset error from the alignment mark in the first resist layer. The symmetry of the alignment mark formed in the second resist can be enhanced by appropriate adjustments of the respective thicknesses of the first and second resist layers, the coating process parameters, and the baking process parameters.
    Type: Grant
    Filed: April 27, 2010
    Date of Patent: December 11, 2012
    Assignees: ASML Netherlands B.V., ASML Holding N.V.
    Inventors: Maya Angelova Doytcheva, Mircea Dusa, Richard Johannes Franciscus Van Haren, Harry Sewell, Robertus Wilhelmus Van Der Heijden
  • Patent number: 8304179
    Abstract: The present disclosure provides a method for manufacturing a semiconductor device. The method includes coating a photoresist on a substrate. The photoresist is exposed to radiation. The radiation exposed photoresist is baked. The radiation exposed and baked photoresist is developed to create an image pattern. The image pattern is treated with a treating material. An ion implantation process is performed to the substrate and the treated image pattern. The image pattern is stripped from the substrate. A carbon atom ratio of the treating material is less than a carbon atom ratio of the photoresist.
    Type: Grant
    Filed: May 11, 2009
    Date of Patent: November 6, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Wei Wang, Ching-Yu Chang
  • Patent number: 8304175
    Abstract: A patterning method is provided. First, a material layer is formed on a substrate. Thereafter, an ashable layer is formed on the material layer. Afterwards, a patterned transfer layer is formed on the ashable layer, wherein the patterned transfer layer has a critical dimension less than the exposure limit dimension. Further, the ashable layer is patterned using the patterned transfer layer or a complementary layer of the patterned transfer layer as a mask, so as to form a patterned ashable layer. The material layer is then patterned using the patterned ashable layer as a mask.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: November 6, 2012
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Hong-Ji Lee
  • Patent number: 8298755
    Abstract: Methods for producing small crystals on islands formed on specialized substrates by, inter alia, subjecting the substrate to a hydrophilic SAMs solution for self-assembling hydrophilic SAMs on certain portions of the substrate surface and subjecting the substrate to a hydrophobic SAMs solution for self-assembling hydrophobic SAMs on certain other portions of the substrate surface.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: October 30, 2012
    Assignee: Illinois Institute of Technology
    Inventors: Allan S. Myerson, In Sung Lee
  • Publication number: 20120270158
    Abstract: Disclosed is a metal structure of a multi-layer substrate, comprising a first metal layer and a dielectric layer. The first metal layer has an embedded base and a main body positioned on the embedded base. The base area of the embedded base is larger than the base area of the main body. After the dielectric layer covers the main body and the embedded base, the dielectric layer is opened at the specific position of the first metal layer for connecting the first metal layer with a second metal layer above the dielectric layer. When the metal structure is employed as a pad or a metal line of the flexible multi-layer substrate according to the present invention, the metal structure cannot easily be delaminated or separated from the contacted dielectric layer. Therefore, a higher reliability for the flexible multi-layer substrate can be achieved. A manufacturing method thereof is also provided.
    Type: Application
    Filed: July 4, 2012
    Publication date: October 25, 2012
    Applicant: Princo Corp.
    Inventor: CHIH-KUANG YANG
  • Patent number: 8293461
    Abstract: A direct emulsion process for making printed circuits and printed circuit boards which includes coating a non-metallized substrate with a solution which creates a light sensitive surface on the substrate, imaging the coated substrate with a circuit design, developing the imaged substrate, and directly plating the developed image onto the coated substrate. Coating solutions which work particularly well in this process include a ferric oxalate and palladium emulsion or a silver based emulsion.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: October 23, 2012
    Assignee: VectraOne Technologies, LLC
    Inventor: Steven Lee Dutton
  • Patent number: 8288083
    Abstract: Some embodiments include methods in which spaced-apart first features are formed from a first material having a reflow temperature. Second material is formed along sidewalls of the first features, and third material is formed over the second material and the first features. The third material may be formed at a temperature above the reflow temperature of the first material, and the second material may support the first features so that the first features do not collapse even though they are exposed to such temperature. In some embodiments the third material has an undulating topography. Fourth material may be formed within the valleys of the undulating topography, and subsequently the first features may be removed together with at least some of the third material to leave a pattern comprising second features formed from the second material and pedestals formed from the fourth material.
    Type: Grant
    Filed: November 5, 2010
    Date of Patent: October 16, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Zishu Zhang, Anton deVilliers, Robert Carr, Farrell Good
  • Publication number: 20120258387
    Abstract: A photolithography mask including a plurality of mask features. Adjacent mask features are separated by a gap and are offset from each other such that individual mask features have one-side dense portions and two-side dense portions. Also a photolithography method that includes a step of providing a substantially opaque mask having N stepped rows of offset, substantially transparent, rectangular mask features, where N is an integer and N?2. The method also includes illuminating a photoresist layer located over an underlying material with dipole illumination through the substantially transparent, rectangular mask features in the substantially opaque mask to form 2N rows of exposed regions in the photoresist layer. The exposed regions have a substantially elliptical or substantially circular shape when viewed from above the photoresist layer.
    Type: Application
    Filed: April 6, 2011
    Publication date: October 11, 2012
    Applicant: SanDisk Corporation
    Inventors: Chun-Ming Wang, Chenche Huang, Masaaki Higashitani
  • Patent number: 8278028
    Abstract: The present invention relates to a material pattern, and mold using thereof, metal thin-film pattern, metal pattern, and method of forming the sames. A method of forming the material pattern according to the present invention comprises the steps of; (a) forming a photo-sensitive material film by coating a photo-sensitive material on a substrate; (b) deciding an exposure section on the photo-sensitive material film; (c) disposing a light refraction film and a light diffusion film at a route of light exposed on the photo-sensitive material film; and (d) forming a pattern on the photo-sensitive material film, by projecting a light on the exposure section of the photo-sensitive material film, wherein the light transmits the light refraction film and the light diffusion film.
    Type: Grant
    Filed: April 4, 2008
    Date of Patent: October 2, 2012
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Jin-Wan Jeon, Jun-Bo Yoon, Koeng Su Lim