Processing Feature Prior To Imaging Patents (Class 430/327)
  • Patent number: 5866307
    Abstract: A resist processing method for introducing a pressurized gas into a vessel storing a solution, sending the solution from the vessel to a nozzle by way of a supply line by means of the pressurized gas, and supplying the solution from the nozzle to a substrate.
    Type: Grant
    Filed: September 11, 1997
    Date of Patent: February 2, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Yukio Kiba, Norio Semba, Keizo Hasebe
  • Patent number: 5858623
    Abstract: A method for forming a patterned photoresist layer. There is first provided a substrate. There is then formed over the substrate a blanket photoresist layer. The blanket photoresist layer is then implanted with a first ion beam to form an ion implanted blanket photoresist layer. The first ion beam employs a first ion having a first energy and a first dose sufficient such that an ion implanted patterned photoresist layer formed from the ion implanted blanket photoresist layer will not substantially outgas when the ion implanted patterned photoresist layer is exposed to a second beam. The ion implanted blanket photoresist layer is then patterned to form the ion implanted patterned photoresist layer. The method may be employed in selective high energy beam processing of the substrate. The method is particularly suited to selective high energy ion implant processing of semiconductor substrates employed within integrated circuit microelectronics fabrications.
    Type: Grant
    Filed: April 7, 1997
    Date of Patent: January 12, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chen-Hua Yu, Syun-Ming Jang, Tsung-Hou Li
  • Patent number: 5856069
    Abstract: The composition of a resist material is determined so that the film thinning quantity of the resist pattern is increased when the profile of a resist pattern which is formed in advance tends to be a T-top profile as compared with a reference pattern profile but so that the film thinning quantity of the resist pattern is decreased when the profile of the resist pattern which is formed in advance tends to be a round-shoulder profile as compared with the reference pattern profile. After coating a semiconductor substrate at its top with the resist material whose composition is determined as such to thereby form a resist film, the resist film is exposed through a mask. The exposed resist film is developed, whereby a resist pattern is obtained.
    Type: Grant
    Filed: July 1, 1997
    Date of Patent: January 5, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masayuki Endo, Akiko Katsuyama
  • Patent number: 5851738
    Abstract: Antihalation compositions and methods for reducing the reflection of exposure radiation of a photoresist overcoated said compositions. The antihalation compositions of the invention comprise a resin binder and material capable of causing a thermally induced crosslinking reaction of the resin binder.
    Type: Grant
    Filed: November 26, 1997
    Date of Patent: December 22, 1998
    Assignee: Shipley Company, L.L.C.
    Inventors: James W. Thackeray, George W. Orsula
  • Patent number: 5849465
    Abstract: A photosensitive liquid precursor solution including titanium carboxyketoesters or titanium carboxydiketonates polymerizes upon exposure to ultraviolet radiation. The solution is applied to an integrated circuit substrate, masked, and exposed to ultraviolet radiation to pattern the liquid precursor film. Unexposed portions of the film are removed in a developer solution including alcohol and water. The remaining portion of the film constitutes a pattern that may be annealed to form a metal oxide.
    Type: Grant
    Filed: November 8, 1996
    Date of Patent: December 15, 1998
    Assignees: Symetrix Corporation, Mitsubishi Materials Corporation
    Inventors: Hiroto Uchida, Katsumi Ogi, Nobuyuki Soyama
  • Patent number: 5849435
    Abstract: A method for forming a layer of resist on a non-planar substrate includes the steps of: dispensing resist onto the substrate; spinning the substrate to spread the resist; and then vibrating the substrate to eliminate voids in the resist. Optionally, the substrate can be inverted and vibrated at the same time to distribute the resist over the sidewalls of any projections or plateaus on the non-planar substrate. Following the vibrating and optional inversion steps, the resist is partially hardened, an edge bead is removed and a backside of the resist is washed. These steps are followed by soft bake, exposure and development of the layer of resist.
    Type: Grant
    Filed: March 4, 1997
    Date of Patent: December 15, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Paul Shirley, William Rericha
  • Patent number: 5849467
    Abstract: The invention proposes an improved method for the pre-treatment of a photoresist layer formed on a substrate surface prior to pattern-wise exposure of the photoresist layer to actinic rays, in which extraneous portions of the resist layer formed by overspreading of the photoresist solution as in the marginal zone of the patterning area and on the peripheral and back surfaces of the substrate, by dissolving away with a cleaning solution. In contrast to the conventional cleaning solutions consisting entirely or mainly of an organic solvent capable of dissolving the photoresist composition, the cleaning solution used in the inventive method is an aqueous alkaline solution containing a water-soluble alkaline compound dissolved in an aqueous medium consisting of water and a limited amount of a water-miscible organic solvent such as monohydric alcohols, alkyleneglycol monoalkyl ethers and aprotic solvents. The cleaning solution may optionally contain an anti-corrosion agent.
    Type: Grant
    Filed: January 28, 1997
    Date of Patent: December 15, 1998
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Mitsuru Sato, Naomi Nagatsuka, Koichi Nagasawa, Hutoshi Shimai, Kouji Harada
  • Patent number: 5846692
    Abstract: After forming a TiN film on a semiconductor substrate, a surface treatment agent in a gas phase, which is obtained by bubbling trimethylsilyl methylsulfonate with a nitrogen gas, is supplied onto the TiN film. The TiN film is then coated with a chemically amplified positive resist including an acid generator and a compound which can attain alkali solubility through the function of an acid, and a pre-bake process is subsequently conducted, thereby forming a resist film. The resist film is then exposed with a KrF excimer laser by using a desired mask. Through this exposure, an acid is generated from the acid generator included in the resist film. Since sulfonic acid produced from trimethylsilyl methylsulfonate weakens the function as a base of a nitrogen atom having a lone pair, the acid generated from the acid generator is not deactivated at the bottom of the resist film. As a result, a resist pattern with a satisfactory shape free from footing can be formed.
    Type: Grant
    Filed: December 3, 1996
    Date of Patent: December 8, 1998
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Akiko Katsuyama, Masayuki Endo
  • Patent number: 5846693
    Abstract: Disclosed are methods for forming a resist pattern which solve a problem (dimensional precision degradation) caused by halation and interference phenomena due to reflected light from the substrate, and which are fine and have high precision even with substrates having high reflectivity or substrates having a transparent film or substrates with an uneven surface. A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper-layer film which is an interference film for the exposure light and a lower-layer film which has higher exposure light absorbance than the upper-layer film and functions as a light shielding film. A third method.
    Type: Grant
    Filed: February 10, 1998
    Date of Patent: December 8, 1998
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Patent number: 5814432
    Abstract: In a method of forming patterns for use in manufacturing electronic devices, a radiation-sensitive layer is formed on a semiconductor substrate by coating a radiation-sensitive composition containing a compound which generates acid by chemical radiation and a compound which has one bond capable of being decomposed by the acid generated. Then, an area of the radiation-sensitive layer adjacent to a predetermined pattern-formation area is irradiated. Subsequently, a surface of the radiation-sensitive layer is treated with a basic compound and washed, thereby inactivating the acid generated in the irradiation area. Thereafter, the predetermined pattern-formation area of the radiation sensitive layer treated with the basic compound is irradiated, followed by applying heat treatment to the substrate. Last, the radiation-sensitive layer is developed. With the method, a fine pattern having a rectangular cross-section together with suppressing size alteration caused by scattered light can be formed.
    Type: Grant
    Filed: November 1, 1995
    Date of Patent: September 29, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshihito Kobayashi
  • Patent number: 5811211
    Abstract: A photosensitive substrate is exposed with both a peripheral edge exposure apparatus which exposes the peripheral portion of the photosensitive substrate in a specified exposure width and a pattern exposure apparatus which transfers a scale pattern to the photosensitive substrate so that a dimension from the outer periphery of the photosensitive substrate is known. The accuracy (offset quantity) of the exposure width of the peripheral edge exposure apparatus is evaluated by reading out the resist image of the scale pattern which appears on the substrate after development.
    Type: Grant
    Filed: August 1, 1996
    Date of Patent: September 22, 1998
    Assignee: Nikon Corporation
    Inventors: Shouji Tanaka, Masao Nakajima, Toshichika Toma
  • Patent number: 5807660
    Abstract: A new method to improve the adhesion of a photoresist layer to an underlying dielectric layer in the fabrication of integrated circuit devices is described. Semiconductor device structures are provided in and on a semiconductor substrate. A dielectric layer is deposited over the semiconductor device structures wherein the depositing is performed in a deposition chamber. The dielectric layer is treated with a N.sub.2 O plasma treatment while the substrate is still within the deposition chamber. The substrate is removed from the deposition chamber. A photoresist mask is formed over the dielectric layer with an opening above the semiconductor device structures to be electrically contacted wherein the plasma treatment improves adhesion of the photoresist mask to the dielectric layer when compared to a conventional integrated circuit device. A contact opening is etched through the dielectric layer not covered by the mask to the semiconductor device structures to be electrically contacted.
    Type: Grant
    Filed: February 3, 1997
    Date of Patent: September 15, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Kuang-Hung Lin, Dong-Hsu Cheng
  • Patent number: 5804353
    Abstract: A process for making a multilayer flexographic printing plate which involves reinforcing and laser engraving a multilayer flexographic printing element.
    Type: Grant
    Filed: May 11, 1992
    Date of Patent: September 8, 1998
    Assignee: E. I. duPont de Nemours and Company
    Inventors: Stephen Cushner, Roxy Ni Fan, Ernst Leberzammer, John Anthony Quinn, Paul Thomas Shea, Carol Marie Van Zoeren
  • Patent number: 5804354
    Abstract: A composition for forming a conductivity imparting agent comprising:(a) 0.1 to 20 parts by weight of sulfonated polyaniline having a content of a sulfonic acid group of 20 to 80% with respect to an aromatic ring of the sulfonated polyaniline;(b) 100 parts by weight of a solvent;(c) 0.01 to 10 parts by weight of an amine and/or quaternary ammonium salt; and(d) 0.001 to 100 parts by weight of at least one kind of a sulfonic acid group-containing component selected from the following (A) and (B);(A) compounds having a sulfonic acid group; and(B) polymers having a sulfonic acid group.
    Type: Grant
    Filed: June 16, 1997
    Date of Patent: September 8, 1998
    Assignees: Fujitsu Limited, Nitto Chemical Industry Co., Ltd.
    Inventors: Keiji Watanabe, Yasuhiro Yoneda, Takashi Maruyama, Keiko Yano, Tomio Nakamura, Shigeru Shimizu, Takashi Saitoh, Takahisa Namiki, Ei Yano, Miwa Igarashi, Yoko Kuramitsu
  • Patent number: 5795699
    Abstract: A method for forming upon a reflective layer, such as a reflective conducting layer, within an integrated circuit an Anti-Reflective Coating (ARC) which simultaneously possesses adhesion promotion characteristics for an organic layer to be formed upon the reflective layer. There is first formed upon a semiconductor wafer a reflective integrated circuit layer which may be a hydrophilic reflective integrated circuit layer or a hydrophobic integrated circuit layer. The semiconductor wafer is then immersed into and withdrawn from a Langmuir trough having formed therein a Langmuir-Blodgett (LB) monolayer film of a dye surfactant molecule ordered upon a surface of water. Upon withdrawing the wafer from the Langmuir trough, there is formed upon the reflective integrated circuit layer an ordered LB film of the dye surfactant molecule. The chromophore groups within the dye surfactant molecule and ordered LB film provide ARC characteristics to the reflective layer.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: August 18, 1998
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Mei Sheng Zhou, Ron-Fu Chu
  • Patent number: 5795701
    Abstract: Microlithographic methods for the use of improved underlayers for chemically amplified deep UV photoresist compositions and structures produced thereby are disclosed. The compositions comprise, in admixture, a polymeric binder, and an azide which is thermolyzed during microlithographic processing to form an amine. Films formed from the underlayer compositions of the present invention, when applied immediately under and proximate to a chemically amplified photoresist film reduce the resist structure sidewall foot or undercut caused by an adverse contact reaction.
    Type: Grant
    Filed: November 26, 1996
    Date of Patent: August 18, 1998
    Assignee: International Business Machines Corporation
    Inventors: Willard Earl Conley, James Thomas Fahey, Wayne Martin Moreau, Ratnam Sooriyakumaran, Kevin Michael Welsh
  • Patent number: 5795700
    Abstract: A resist pattern is formed on a substrate by forming a water-soluble resist film on the substrate, forming a contrast enhancing film on the resist film from a contrast enhancing composition comprising a specific arylnitrone compound of formula (1), pre-baking the resist film before or after formation of the contrast enhancing film, exposing the resist film to light through the contrast enhancing film, baking the films after exposure, removing the contrast enhancing film after the baking step, and developing the resist film. The process forms a resist pattern having a fully rectangular profile and an improved focus margin. The conventional apparatus can be utilized without substantial modification, achieving a cost reduction. ##STR1## wherein R.sup.1, R.sup.2, and R.sup.3 are independently an alkyl radical, an aryl radical or a hydrogen atom,R.sup.4 to R.sup.8 are independently an alkyl radical, a hydrogen atom or a carboxyl radical, at least one of R.sup.4 to R.sup.
    Type: Grant
    Filed: February 21, 1996
    Date of Patent: August 18, 1998
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Mitsuo Umemura, Toshinobu Ishihara, Satoshi Watanabe
  • Patent number: 5792596
    Abstract: In a method of forming a pattern, a photo-mask including a desired pattern is provided. A photo-sensitive resin film is spin-coated on a semiconductor substrate. Subsequently, the surface of the photo-sensitive resin film is changed to have a resistivity against a development solution. Next, light is illuminated to transmit the photo-mask. As a result, the resistivity of only the surface portion of the photo-sensitive resin film corresponding to the desired pattern is decreased based on the property of photo-sensitive resin film by the light having transmitted the photo-mask. Last, the photo-sensitive layer is developed with the development solution.
    Type: Grant
    Filed: February 6, 1996
    Date of Patent: August 11, 1998
    Assignee: NEC Corporation
    Inventors: Tadao Yasuzato, Shinji Ishida, Kunihiko Kasama, Yoko Iwabuchi
  • Patent number: 5783365
    Abstract: To present a manufacturing method of semiconductor device capable of preventing deactivation phenomenon of acid of chemically amplified resist when the foundation layer is a silicon nitride film, and obtaining a favorable resist shape, and enhanced in stability of resist patter. A silicon nitride film (3) is exposed to an oxygen atmosphere at 800.degree. to 1200.degree. C., and a thermal oxide film (4) in a thickness of about 40 to 50 angstroms (4 to 5 nm) is formed on the silicon nitride film (3), and then a resist layer (5) is formed. It hence eliminates the problems of instability of dimensions and shape of the silicon nitride film due to tail or under-cut formed in the sectional shape of the resist layer, and simultaneously solves the problem of instability of the resist pattern by peeling of the resist layer due to deterioration of adhesion between the resist layer and silicon nitride film.
    Type: Grant
    Filed: November 22, 1995
    Date of Patent: July 21, 1998
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kouichirou Tsujita
  • Patent number: 5783363
    Abstract: An improvement in a method of charged-particle lithography includes the step of spinning an electrically-conductive layer on a substrate. In one embodiment, an electrically-conductive transfer layer is applied on a substrate. In another embodiment, an electrically-conductive planarizing layer is applied on a substrate. In another embodiment, an electrically-conductive imageable layer is applied on a substrate. In another embodiment, a separate electrically-conductive layer is applied. In another embodiment, a planarizing layer, a transfer layer, or an imageable layer is bombarded with ions to form a thin electrically-conductive carbonized layer.
    Type: Grant
    Filed: January 21, 1997
    Date of Patent: July 21, 1998
    Assignee: National Semiconductor Corporation
    Inventor: Michael E. Thomas
  • Patent number: 5776644
    Abstract: A photolithographic method for patterning small diameter circles at a high resolution on a layer, comprises the steps of depositing a resist layer on said layer; depositing calibrated microspheres, opaque to a radiation, on the surface of the resist layer; and irradiating said resist layer with said radiation.
    Type: Grant
    Filed: October 23, 1995
    Date of Patent: July 7, 1998
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Jean-Frederic Clerc, Denis Randet
  • Patent number: 5773200
    Abstract: A positive resist composition contains (1) a novolak resin having a weight average molecular weight calculated as polystyrene of 2,000-10,000 as an alkali-soluble resin, (2) a low nucleus compound having a phenolic hydroxyl group and 2-5 benzene rings as a dissolution promoter, and (3) a compound having a 1,2-naphthoquinonediazidosulfonyl group in a molecule and a degree of esterification of at least 65% as a photosensitive agent. By forming a resist layer on a substrate from the positive resist composition, and baking the resist layer at 100.degree.-130.degree. C. before exposure or before development, followed by exposure and development, there is formed a resist pattern having a micro-groove of desired configuration. This resist pattern lends itself to a lift-off technique.
    Type: Grant
    Filed: December 15, 1995
    Date of Patent: June 30, 1998
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoshi Okazaki, Kazuhiro Nishikawa, Masaru Kobayashi, Miki Kobayashi, Mitsuo Umemura, Toshinobu Ishihara
  • Patent number: 5766824
    Abstract: A substrate photolithography system includes a substrate handling robot that pivots about a fixed point and transfers substrates between photoresist coater, a developer, and a heating/cooling unit, all of which are clustered about the robot. The end effector of the robot is capable of both vertical and lateral movement so that individual modules of the heating/cooling unit may be stacked. For heating/cooling, the substrate is placed in the heating/cooling unit in close proximity to a hotplate/chillplate and a thermally conductive, non-reactive gas, such as helium, is introduced into the airspace between the substrate and the hotplate/chillplate. The thermally conductive, non-reactive gas, is preheated/precooled before introduction into the airspace between the substrate and the hotplate/chillplate when the gas passes through a bore in the hotplate/chillplate. Additionally, the substrate is automatically aligned in a milled recession in the hotplate surface for future handling.
    Type: Grant
    Filed: July 27, 1995
    Date of Patent: June 16, 1998
    Assignee: Semiconductor Systems, Inc.
    Inventors: William T. Batchelder, Michael L. Parodi, Michael R. Biche
  • Patent number: 5766825
    Abstract: A method of forming a surface laminated circuit printed circuit board (SLC) where a photosensitive thermosetting resin in solution is applied atop a circuitized substrate. The method starts with the step of concentrating and solidifying the photosensitive thermosetting resin to build up a hardness therein high enough to withstand abrasion. This is done by first dissolving the photosensitive thermosetting resin in a solvent and then applying the solution to a substantially uneven surface. This is followed by evaporating solvent at a temperature below the curing temperature of the photosensitive thermosetting resin. Next, the surface of said resin layer is abraded to form a substantially even surface, and the resin layer is cured by heating the resin high enough to cross link and polymerize the resin.
    Type: Grant
    Filed: October 11, 1995
    Date of Patent: June 16, 1998
    Assignee: International Business Machines Corp.
    Inventors: Masaharu Shirai, Shuhichi Okabe, Yoshiteru Kohno
  • Patent number: 5763142
    Abstract: Disclosed is a method for forming a resist pattern in which a chemically amplified resist which has a photosensitive acid-generating agent with a catalytic function is used, has the step of: treating the surface of nitrided metal film or nitrided semimetal film deposited on a substrate by using a substance that reduces the basicity of a basic substance which exists on the surface of nitrided metal film or nitrided semimetal film or which is chemically coupled with the nitrided metal film or nitrided semimetal film.
    Type: Grant
    Filed: February 27, 1997
    Date of Patent: June 9, 1998
    Assignee: NEC Corporation
    Inventor: Shigeyuki Iwasa
  • Patent number: 5759747
    Abstract: The invention provides a method which can suitably be used to mass-produce semiconductor devices. This method includes the following steps: a) providing a silicon surface with an anti-reflective layer which is made predominantly from a mixture of polyimide and polyamidic acid, b) providing the anti-reflective layer with a photosensitive layer which is subjected to patterned radiation and then developed thus forming an etch mask of the photosensitive layer and the anti-reflective layer, c) etching the freed parts of the silicon surface, and d) removing the etch mask. The method in accordance with the invention is characterized in that, prior to applying the anti-reflective layer, the silicon surface is exposed to an oxidative treatment in which, preferably, an aqueous solution of hydrogen peroxide with ammonia or sulphuric acid is used. By virtue of this measure in accordance with the invention, the undesired etching away of small silicon structures is precluded.
    Type: Grant
    Filed: October 28, 1996
    Date of Patent: June 2, 1998
    Assignee: U.S. Philips Corporation
    Inventors: Frank T. M. Dohmen, Gunter J. Elmendorff, Theodorus M. Lavrijsen
  • Patent number: 5756262
    Abstract: The composition of a resist material is determined so that the film thinning quantity of the resist pattern is increased when the profile of a resist pattern which is formed in advance tends to be a T-top profile as compared with a reference pattern profile but so that the film thinning quantity of the resist pattern is decreased when the profile of the resist pattern which is formed in advance tends to be a round-shoulder profile as compared with the reference pattern profile. After coating a semiconductor substrate at its top with the resist material whose composition is determined as such to thereby form a resist film, the resist film is exposed through a mask. The exposed resist film is developed, whereby a resist pattern is obtained.
    Type: Grant
    Filed: March 11, 1996
    Date of Patent: May 26, 1998
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masayuki Endo, Akiko Katsuyama
  • Patent number: 5750317
    Abstract: A method and system of flattening resist mounds formed during a wet edgebead operation. The wet edgebead operation is used to remove edgebeads formed when a resist material is deposited on a semiconductor wafer. Solvent is introduced to the semiconductor wafer at the area containing the resist mounds to soften them, and the semiconductor wafer is spun at a high speed to flatten the mounds.
    Type: Grant
    Filed: September 16, 1994
    Date of Patent: May 12, 1998
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jonathan Alan Orth
  • Patent number: 5750315
    Abstract: A compressible printing plate is prepared by casting liquid photopolymerizable resin directly onto a compressible material having open cells on its surface. The photopolymerizable resin is incompletely cured by exposure to actinic radiation, preferably in the absence of a coverfilm covering the photopolymerizable resin. A further layer of photopolymerizable resin is then cast onto the incompletely cured resin, and the whole exposed to actinic radiation through an image-bearing transparency. A release composition may be placed between the image-bearing transparency and the photopolymerizable resin to provide for decreased adhesion therebetween. After development, an image-bearing laminate suitable as a printing plate for flexographic printing is obtained. The printing plate achieves excellent short and long term adhesion between the compressible layer and the photopolymer plate, without the necessity of a tie layer or adhesive therebetween.
    Type: Grant
    Filed: August 13, 1996
    Date of Patent: May 12, 1998
    Assignee: MacDermid Imaging Technology, Inc.
    Inventor: Joseph F. Rach
  • Patent number: 5747220
    Abstract: A light sensitive planographic printing plate have deposited particles on a sensitive layer, each of the said deposited particles comprising a protuberant or bulging portion and a substantially flat base portion spreading around the said protuberant or bulging portion, the said both protuberant or bulging portion and flat base portion being formed integrally with a same material.
    Type: Grant
    Filed: April 10, 1996
    Date of Patent: May 5, 1998
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Katsuhiko Hidaka, Katsuhiro Kawabata, Keiko Tamukai
  • Patent number: 5733714
    Abstract: The present invention relates to a novel antireflective coating solution and a process for its use in photolithography. The antireflective coating solution comprises a novel polymer and an organic solvent or a mixture of organic solvents, where the novel polymer comprises a unit containing a dye that absorbs from about 180 nm to about 450 nm and a unit containing a crosslinking group.
    Type: Grant
    Filed: September 30, 1996
    Date of Patent: March 31, 1998
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Iain McCulloch, Ralph R. Dammel, Anthony J. Corso, Shuji Ding, Dana L. Durham, Ping-Hung Lu, Ming Kang, Dinesh N. Khanna
  • Patent number: 5733712
    Abstract: A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper-layer film which is an interference film for the exposure light and a lower-layer film which has higher exposure light absorbance than the upper-layer film and functions as a light shielding film. A third method forms between the substrate and resist film a two-layer anti-reflective film consisting of a lower-layer film that reflects the exposure light and an upper-layer film that is an interference film for the exposure light. A very high anti-reflection effect can be obtained without aspect ratio problems during the process of forming the anti-reflective film and without being influenced by the kind of substrate including those having a transparent film.
    Type: Grant
    Filed: February 16, 1996
    Date of Patent: March 31, 1998
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Patent number: 5723259
    Abstract: A composition for negative type chemically amplified resist including, as main components, a random copolymer of vinyl phenol and vinyl cyclohexanol, a melamine resin having an enhanced hexamethoxymethylmelamine content, an acid generator for generating an acid upon irradiation by ionizing radiation, and a solvent. The resist pattern formation process and apparatus are also disclosed.
    Type: Grant
    Filed: October 23, 1996
    Date of Patent: March 3, 1998
    Assignee: Fujitsu Limited
    Inventors: Akira Oikawa, Hiroyuki Tanaka, Hideyuki Matsuda
  • Patent number: 5723237
    Abstract: Resist patterns which minimize the standard deviations of critical dimensions within a plate and between the plates (namely which minimize the critical dimensions) are formed by a method for determining conditions for resist pattern formation comprising a resist film forming process, a resist film baking process, an exposing process, and a developing process, which method consists in preparing a plurality of plates each having a resist film formed thereon, baking the resist films on the plates under severally varying baking conditions, omitting to perform an exposing treatment on the resist films, and subjecting the resist films baked under their own baking conditions to a dissolving treatment to be severally performed under such prescribed conditions as induce partial dissolution of the resist films thereby determining as the temperature condition for baking a prospective resist film the baking temperature that minimizes the change in the uniformity of the remaining resist thickness in the plates relative to
    Type: Grant
    Filed: September 19, 1996
    Date of Patent: March 3, 1998
    Assignee: Hoya Corporation
    Inventors: Hideo Kobayashi, Keishi Asakawa, Yasunori Yokoya
  • Patent number: 5721091
    Abstract: A composition for forming an electrically conductive layer which is used in patterning of a resist with electric charge beam, which comprises:(a) 0.1 to 20 parts by weight of sulfonated polyanilines with a sulfonic group content ranging from 20 to 80% based on the aromatic ring, the sulfonated polyaniline having a weight average molecular weight of 500 to 100,000 and a molecular weight dispersion of not more than 5,(b) 100 parts by weight of a solvent, and(c) 0.01 to 30 parts by weight of amines and/or quaternary ammonium salts,and if desired, it further comprises 0 to 200 parts by weight of the following substance(s) (A) and/or (B) as component (d):(A) a high molecular weight compound soluble in the aforesaid solvent (b),(B) a surface active agent.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: February 24, 1998
    Assignees: Fujitsu Limited, Nitto Chemical Industry Co., Ltd.
    Inventors: Keiji Watanabe, Yasuhiro Yoneda, Takashi Maruyama, Keiko Yano, Tomio Nakamura, Shigeru Shimizu, Takashi Saitoh
  • Patent number: 5719003
    Abstract: A photoresist composition comprising an alkali soluble resin and an ortho-naphthoquinone diazide sulfonic acid ester of a polyhydroxy alcohol. The photoresist is characterized by having at least a portion of its free hydroxyl groups on the photoactive compound blocked with an acid labile blocking group that generates a hydroxide upon cleavage.
    Type: Grant
    Filed: September 27, 1995
    Date of Patent: February 17, 1998
    Assignee: Shipley Company, L.L.C.
    Inventors: Roger F. Sinta, Daniel Y. Pai, Timothy G. Adams
  • Patent number: 5719009
    Abstract: A process for making a flexographic printing plate from a flexographic element having an infrared ablatable layer capable of being selectively removed by a laser beam is described.
    Type: Grant
    Filed: November 18, 1994
    Date of Patent: February 17, 1998
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Roxy Ni Fan
  • Patent number: 5712065
    Abstract: A process is described for the fabrication of a multicolor filter intended for use as part of a colored LCD system. A particular feature of the process is that no mask is needed for the formation of the final set of miniature color filters, thereby saving on mask costs as well as reducing processing time.
    Type: Grant
    Filed: August 28, 1996
    Date of Patent: January 27, 1998
    Assignee: Industrial Technology Research Institute
    Inventor: Jeen-Yuan Chiang
  • Patent number: 5712190
    Abstract: Methods for alignment of stacked integrated circuit chips and the resultant three-dimensional semiconductor structures. A thickness control layer is deposited, as needed, on each integrated circuit chip. The thickness of the layer is determined by the thickness of the chip following a grind stage in the fabrication process. Complementary patterns are etched into the thickness control layer of each chip and into adjacent chips. Upon stacking the chips in a three dimensional structure, precise alignment is obtained for interconnect pads which are disposed on the edges of each integrated circuit chip. Dense bus and I/O networks can be thereby supported on a face of the resultant three-dimensional structure.
    Type: Grant
    Filed: January 16, 1996
    Date of Patent: January 27, 1998
    Assignee: International Business Machines Corporation
    Inventors: Claude Louis Bertin, John Edward Cronin, David Jacob Perlman
  • Patent number: 5700627
    Abstract: A device for insolating micrometric areas in a photosensitive layer and a method for producing patterns in such a layer.The method is characterized in that the layer is subjected to an atmosphere containing a transparent liquid that does not wet the photosensitive material, in order to produce on this layer a monolayer of micro-droplets (121), the layer of photosensitive material is insolated through the monolayer of micro-droplets (121) in order to selectively print the areas of exposure (122) of the layer, the micro-droplets (121) are removed, and the layer of photosensitive material is developed in order to form said patterns in accordance with the areas of exposure.
    Type: Grant
    Filed: August 14, 1996
    Date of Patent: December 23, 1997
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Michel Ida, Robert Baptist
  • Patent number: 5695912
    Abstract: A desensitizing solution for offset printing is provided, which is characterized by containing an inorganic ionic polymer of basic aluminum chloride or a derivative thereof, represented by the general formula ?Al.sub.2 (OH).sub.n Cl.sub.6-n !.sub.m where 0<n<6 and m>1. The amount of basic aluminum chloride or a derivative is 5 to 50% by weight and the pH of the solution is 2 to 5. This solution can be free from a ferrocyanic or ferricyanic compound but provide a hydrophilic coating layer with a strong physical strength on a non-image area.
    Type: Grant
    Filed: July 3, 1996
    Date of Patent: December 9, 1997
    Assignee: Iwatsu Electric Co., Ltd.
    Inventors: Hayato Hyakutake, Hirotsuga Saito, Ryo Kobirumaki
  • Patent number: 5693455
    Abstract: A stepped pattern is formed in a photoresist film (10) by heating the photoresist at a first temperature to soft bake it, and then applying a mask (10) that allows only a selected portion (15) of the photoresist to be heated. That portion of the photoresist film is then heated at a temperature sufficient to partially degrade the photoresist, and the mask is removed. Another portion (22) of the photoresist film is then exposed to ultraviolet light to degrade it more fully than in the earlier step. The photoresist film is then developed under conditions sufficient to completely remove the portion exposed to ultraviolet light, and to partially remove the portion heated using the mask, thereby creating a stepped feature in the photoresist film.
    Type: Grant
    Filed: July 6, 1995
    Date of Patent: December 2, 1997
    Assignee: Motorola, Inc.
    Inventors: Thomas J. Swirbel, Dale W. Dorinski
  • Patent number: 5686226
    Abstract: An applicator (300) provides a tool for depositing processing media (510), such as a tacky flux agent, on predetermined surface areas (715) of a circuit substrate (705). The applicator (300) has a portion formed from a flexible compressible material with a surface (302, 325) patterned to have projections (325) that correspond in location to the predetermined surface areas (715) of the circuit substrate (705). The applicator (300) is preferably formed by polymerizing resin material using an image that corresponds to the circuit substrate (410, 420, 430).
    Type: Grant
    Filed: August 3, 1995
    Date of Patent: November 11, 1997
    Assignee: Motorola, Inc.
    Inventors: Barry B. Groman, Anthony B. Suppelsa, Scott Potter
  • Patent number: 5681674
    Abstract: A method for designing mask patterns or direct depicting patterns using CAD for forming a semiconductor integrated circuit including a plurality of laminated semiconductor layers includes inputting design rules for performing a design rule check (DRC) of n patterns, where n is an integer larger than 2, n mask layers, or n direct depicting patterns for forming n semiconductor layers; editing the input design rules into matrix notation; designing patterns for the n mask layers or n respective semiconductor layers; displaying the designed patterns on a CRT; performing a DRC of the patterns using the design rules edited into the matrix notation; modifying the checked patterns to satisfy the design rules edited into the matrix notation; and outputting the design rules and the modified patterns. The efficiency of the confirmation and setting of the design rules can be enhanced, reducing design mistakes.
    Type: Grant
    Filed: January 22, 1996
    Date of Patent: October 28, 1997
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Shinichi Fujimoto
  • Patent number: 5674663
    Abstract: The invention comprises a method for applying a curable resin, such as a photosensitive resin, to a substrate such as a papermaker's dewatering felt. The method comprises the steps of providing a substrate; providing a curable liquid resin; providing a second material different from the curable liquid resin; applying the second material to the substrate to occupy at least some of the voids in the substrate intermediate the first and second surfaces of the substrate; applying the curable resin to the substrate; curing at least some of the resin to provide a resin layer on the substrate; and removing at least some of the second material from the substrate, wherein at least some of the second material is removed from the substrate after applying the curable resin to the substrate.
    Type: Grant
    Filed: October 25, 1996
    Date of Patent: October 7, 1997
    Inventors: James Robert McFarland, Paul Dennis Trokhan, John Robert Powers, James Daniel Miller, II, Glenn David Boutilier
  • Patent number: 5672464
    Abstract: A device for patterning an imaging member (46) is provided. The device comprises a light source (24) which emits light rays (26). Light rays (26) pass through a collimator lens (28) to collimate the light rays (30). The light then strikes a spatial light modulator (32) which is controlled by a computer (40) to reflect the light (42). The light passes through an imaging lens (44) to magnify the pattern for striking imaging member (46). Imaging member (46) is thus patterned by changing modulator (32) by computer (40).
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: September 30, 1997
    Assignee: Texas Instruments Incorporated
    Inventor: William E. Nelson
  • Patent number: 5667942
    Abstract: A resist pattern forming method which includes:an application step of applying a photoresist onto a semiconductor substrate,a prebake step of prebaking the photoresist in an atmosphere containing water vapor following the application of the photoresists to the substrate,an exposure step of exposing the photoresist to radiation following the prebake step,a heating step of heating the photoresist following the exposure step, anda development step of developing the photoresist following the heating step, whereby a large amount of water is imparted to and is therefore present in the resist film so as to obtain high dissolving speed of the exposed part of the resist into the developer, with the result of improving the resist sensitivity.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: September 16, 1997
    Assignee: Fujitsu Limited
    Inventors: Yoshiyuki Nakao, Minoru Hirose
  • Patent number: 5667922
    Abstract: A method of fabricating a patterned polyimide film on a semiconductor wafer including spin coating the wafer with a polyimide precursor solution, baking the polyimide precursor solution in order to remove solvents from and to slightly cure the solution to form a polyimide film, and rinsing the polyimide film with deionized water immediately following the baking step prior to further processing. The rinsing in part serves to further remove solvents associated with the polyimide film. The process continues with photolithography techniques in which the wafer spin coated with a selected photoresist to form a photoresist film immediately following the rinsing step, and a baking of the photoresist film. Thereafter, the photoresist film is exposed to radiation through a photomask, and developed with a solution to form a pattern. The pattern is then etched into the polyimide film with the solution. The remaining portions of the photoresist are removed with a chemical stripper.
    Type: Grant
    Filed: April 26, 1996
    Date of Patent: September 16, 1997
    Assignee: Allegro Microsystems, Inc.
    Inventors: Thomas J. Martiska, Stephen Darling
  • Patent number: 5650259
    Abstract: A photosensitive composition which comprises, as essential components:(A) a polymer having carboxyl group(s) and hydroxyphenyl group(s), or (A') a polymer having carboxy group(s) and (A") a polymer having hydroxyphenyl group(s),(B) a compound having at least two vinyl ether groups in the molecule, and(C) a compound which generates an acid when irradiated with am actinic ray, and which is useful as a positive type photoresist having high resolution and excellent formability of fine image pattern, a material for printing, etc.; and a process for pattern formation using said composition.
    Type: Grant
    Filed: November 6, 1995
    Date of Patent: July 22, 1997
    Assignee: Kansai Paint Co., Ltd.
    Inventors: Genji Imai, Naozumi Iwasawa, Tsuguo Yamaoka
  • Patent number: RE35821
    Abstract: A radiation-sensitive layer comprising as a main component a radiation-sensitive composition containing a compound capable of generating an acid when exposed to a chemical radiation and a compound having at least one linkage decomposable by an acid is formed on a substrate. An acidic coating layer is formed on the radiation-sensitive layer. The radiation-sensitive layer and the acidic coating layer are pattern-exposed to a chemical radiation. The radiation-sensitive layer and the acidic coating layer are baked and developed by using an aqueous alkaline solution to obtain a pattern comprising lines and spaces, each having a predetermined width. A fine pattern of a rectangular sectional shape can be formed without producing eaves caused by a surface inhibition layer layer, which is produced on the film surface.
    Type: Grant
    Filed: April 29, 1996
    Date of Patent: June 9, 1998
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hirokazu Niki, Rumiko Hayase, Naohiko Oyasato, Yasunobu Onishi, Akitoshi Kumagae, Kazuo Sato, Masataka Miyamura, Yoshihito Kobayashi