Processing Feature Prior To Imaging Patents (Class 430/327)
  • Patent number: 6713236
    Abstract: A lithography method for use in the manufacture of semiconductor devices, which prevents lithographic exposure of a periphery region or edge region of a semiconductor wafer and which prevents the formation of black silicon related particle contamination in a patterned region on the periphery region as a result of, e.g., a deep trench manufacturing process. A quencher solution is applied at peripheral areas of the wafer on which a photoresist layer is formed. The quencher solution neutralizes acid generated in the photoresist when the photoresist is exposed to radiation, thereby preventing the photoresist on the peripheral region of the wafer to dissolve during a subsequent developing process.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: March 30, 2004
    Assignee: Infineon Technologies North America Corp.
    Inventor: Xiaochun Linda Chen
  • Patent number: 6713239
    Abstract: A solution having a photosensitive radical is applied onto a resist film, a developing solution is applied thereonto, and the entire surface of the solution having the photosensitive radical is exposed all at once. Developing of the resist film progresses all at once after a coating film of the solution having the photosensitive radical dissolves in the developing solution, and hence time difference in the start time of developing does not occur in the surface of a substrate, thereby enabling uniform developing and an improvement in line width uniformity (CD value uniformity) in the surface of the substrate.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: March 30, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Tsutae Omori, Yoshio Kimura
  • Publication number: 20040053172
    Abstract: Process solutions comprising one or more acetylenic diol type surfactants are used to improve the wettability of a substrate surface by lowering the contact angle of the aqueous developer solution are enclosed herein. In one embodiment, the process solution is used to prepare the surface of the substrate prior to the development of the resist coating layer.
    Type: Application
    Filed: August 4, 2003
    Publication date: March 18, 2004
    Inventors: Peng Zhang, Danielle Megan King Curzi, Eugene Joseph Karwacki, Leslie Cox Barber
  • Publication number: 20040053170
    Abstract: A method of forming resist patterns comprises the steps of (a) applying and forming a chemically amplified photoresist film, (b) applying a treating agent with a pH value of 1.3 to 4.5 onto said chemically amplified photoresist film, (c) baking said chemically amplified photoresist film after at least one of the steps of applying and forming said chemically amplified photoresist film and applying said treating agent, (d) selectively exposing said chemically amplified photoresist film, (e) post exposure-baking said chemically amplified photoresist film, and (f) developing said chemically amplified photoresist film, wherein the contact angle of a non-exposed portion of said chemically amplified photoresist film to a developing solution after wash with water to remove the treating agent on the photoresist and spin-drying before development is made lower by 10° to 110° than that in the case where said treating agent is not applied.
    Type: Application
    Filed: May 8, 2003
    Publication date: March 18, 2004
    Inventors: Kazuyo Ijima, Yusuke Takano, Hatsuyuki Tanaka, Satoru Funato
  • Patent number: 6706826
    Abstract: The present invention relates to a copolymer for use in paints, resists, and the like; a method for manufacturing the same; and a resist composition using the same. The copolymer according to the present invention is obtained by means of polymerizing at least one monomer containing an alicyclic structure and one monomer containing a lactone structure, and the distribution of the copolymer composition of said monomer containing a lactone structure in said copolymer is in the range of −10 to +10 mol % of the average copolymer composition of said monomer containing a lactone structure in said entire copolymer. In addition, the copolymer according to the present invention is obtained by means of polymerizing a monomer containing an alicyclic structure, a monomer containing a lactone structure, and another vinyl monomer comprising a higher polarity than said monomer containing an alicyclic structure, but a lower polarity than said monomer containing a lactone structure.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: March 16, 2004
    Assignee: Mitsubishi Rayon Co., Ltd.
    Inventors: Tadayuki Fujiwara, Masayuki Tooyama, Yukiya Wakisaka, Koji Nishida, Akira Yanagase
  • Patent number: 6706466
    Abstract: Imageable articles comprising positive working polymeric coatings on substrates are given a heat treatment as part of their manufacture, notably at a moderate temperature for an extended period. This heat treatment improves the development characteristics of the coatings in use. It has been found that by carrying out the heat treatment on articles wrapped in a water-impermeable material or in a humidity-enhanced oven, development characteristics may be further improved, especially adjacent to the edges of articles. The imageable articles include precursors for lithographic printing plates and for printed circuits.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: March 16, 2004
    Assignee: Kodak Polychrome Graphics LLC
    Inventors: Martyn Lott, Colin Shoesmith, John David Riches, Gareth Rhodri Parsons
  • Publication number: 20040048200
    Abstract: A resist film (1) is deposited on a silicon wafer (W). Next, exposure is performed through an exposure mask (M), following which post-exposure bake is performed. On the silicon wafer (W) after post-exposure bake, a resist surface treatment agent membrane (2) is deposited, where mixing bake is performed. With mixing bake, a resist reinforced portion (R) is formed. Subsequently, an unreacted portion (2a) is removed, and the silicon wafer (W) is dried. The silicon wafer (W) is subjected to plasma dry development for forming a predetermined resist pattern.
    Type: Application
    Filed: June 4, 2003
    Publication date: March 11, 2004
    Applicant: Renesas Technology Corp.
    Inventor: Takeo Ishibashi
  • Publication number: 20040048194
    Abstract: A tunable dielectric antireflective layer for use in photolithographic applications, and specifically, for use in an image transfer processing. The tunable dielectric antireflective layer provides a spin-on-glass (SOG) material that can act as both a hardmask and a deep UV antireflective layer (BARC). One such material is titanium oxide generated by spin-coating a titanium alkanate and curing the film by heat or electron beam. The material can be “tuned” to match index of refraction (n) with the index of refraction for the photoresist and also maintain a high absorbency value, k, at a specified wavelength. A unique character of the tunable dielectric antireflective layer is that the BARC/hardmask layer allows image transfer with deep ultraviolet photoresist.
    Type: Application
    Filed: September 11, 2002
    Publication date: March 11, 2004
    Applicant: International Business Machines Corporation
    Inventors: Gregory Breyta, Mark W. Hart, William D. Hinsberg, Alfred F. Renaldo
  • Publication number: 20040043328
    Abstract: Contrast enhanced photolithography methods and devices formed by the same are described. In accordance with these methods, a photoresist layer is formed on a substrate. A contrast enhancing system including a solution or dispersion of a photobleachable dye is formed on the photoresist layer. The photoresist layer is exposed through an imaging pattern and through the contrast enhancing system to radiation having a wavelength between about 230 nm and about 300 nm. The contrast enhancing layer is removed, and the photoresist layer is developed to form a photoresist pattern on the substrate. The contrast enhancing system may be removed and the photoresist layer may be developed in a single process step or in different process steps.
    Type: Application
    Filed: September 4, 2002
    Publication date: March 4, 2004
    Inventor: Jennifer Lu
  • Patent number: 6699644
    Abstract: The present invention provides a method for reducing or eliminating a poor pattern formation on a photoresist film by contacting the photoresist film with an alkaline solution prior to its exposure to light. Methods of the present invention significantly reduce or prevent T-topping and top-loss.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: March 2, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Geun Su Lee, Hyeong Soo Kim, Jin Soo Kim, Cha Won Koh, Sung Eun Hong, Jae Chang Jung, Min Ho Jung, Ki Ho Baik
  • Patent number: 6692901
    Abstract: A polymethylglutaramide layer is formed on a given base material, and then, the surface of the polymethylglutaramide layer is washed with an organic solvent. Then, a photoresist layer is formed on the polymethylglutaramide layer. The photoresist layer is exposed and developed. The remaining polymethylglutaramide layer is partially removed, to form a resist pattern having a T-shaped longitudinal cross section which is constructed of a main body having a substantially rectangular longitudinal cross section and a supplemental body to support the main body on a given base material. The supplemental body has a substantially rectangular longitudinal cross section, which is narrower than the longitudinal cross section of the main body.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: February 17, 2004
    Assignee: TDK Corporation
    Inventor: Akifumi Kamijima
  • Patent number: 6692893
    Abstract: Onium salts of arylsulfonyloxynaphthalenesulfonate anions with iodonium or sulfonium cations are novel. A chemically amplified resist composition comprising the onium salt as a photoacid generator is suited for microfabrication, especially by deep UV lithography because of many advantages including improved resolution, improved focal latitude, minimized line width variation or shape degradation even on long-term PED, minimized debris after coating, development and peeling, and improved pattern profile after development.
    Type: Grant
    Filed: October 23, 2001
    Date of Patent: February 17, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Jun Watanabe, Takeshi Nagata, Jun Hatakeyama
  • Patent number: 6692891
    Abstract: The present invention relates to a photoresist composition containing a photo radical generator, more specifically, to a photoresist composition which comprises (a) photoresist resin, (b) a photoacid generator, (c) an organic solvent and (d) a photo radical generator. The present photoresist composition reduces or prevents a sloping pattern formation due to a higher concentration of acid in the upper portion of the photoresist relative to the lower portion of the photoresist.
    Type: Grant
    Filed: June 12, 2001
    Date of Patent: February 17, 2004
    Assignee: Hynix Semiconductor Inc
    Inventors: Jae Chang Jung, Geun Su Lee, Min Ho Jung, Ki Ho Baik
  • Publication number: 20040029036
    Abstract: New photoresists are provided that are suitable for short wavelength imaging, including sub-200 nm, particularly 193 nm. Resists of the invention comprise a polymer that is preferably substantially free of aromatic moieties and comprises photoacid-labile repeat units, a photoactive components such as one or more photoacid generator compounds and a solvent component that comprises methyl isoamyl ketone (5-methyl-2-hexanone).
    Type: Application
    Filed: April 18, 2003
    Publication date: February 12, 2004
    Applicant: Shipley Company, L.L.C.
    Inventors: Robert J. Kavanagh, James W. Thackeray
  • Patent number: 6689521
    Abstract: The present invention provides for a method and an apparatus for controlling plasma photoresist removal processes. At least one manufacturing run of semiconductor devices is processed. Environmental data is acquired in response to processing the semiconductor devices. Metrology data is acquired in response to processing the semiconductor devices. The method further comprises performing residual gas analysis based upon the acquired environmental data and the acquired metrology data.
    Type: Grant
    Filed: March 15, 2002
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Greg Goodwin
  • Publication number: 20040023163
    Abstract: Disclosed is a positive-working chemical-amplification photoresist composition used in the patterning works in the manufacture of semiconductor devices, with which quite satisfactory patterning of a photoresist layer can be accomplished even on a substrate surface provided with an undercoating film of silicon nitride, phosphosilicate glass, borosilicate glass and the like in contrast to the prior art using a conventional photoresist composition with which satisfactory patterning can hardly be accomplished on such an undercoating film. The photoresist composition comprises, besides a film-forming resin capable of being imparted with increased solubility in an alkaline solution by interacting with an acid and a radiation-sensitive acid-generating compound, a phosphorus-containing oxo acid such as phosphoric acid and phosphonic acid or an ester thereof.
    Type: Application
    Filed: July 31, 2003
    Publication date: February 5, 2004
    Inventors: Hiroto Yukawa, Katsumi Oomori, Ryusuke Uchida, Yukihiro Sawayanagi
  • Patent number: 6682876
    Abstract: A thinner composition is effective in removing a variety of photoresists, and includes propylene glycol mono-methyl ether acetate, ethyl 3-ethoxy propionate and at least one of &ggr;-butyro lactone and propylene glycol mono-methyl ether. The thinner composition can selectively strip a photoresist coated on a backside and at an edge portion of a substrate, as well as a photoresist coated on a whole front surface of the substrate.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: January 27, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Hyun Ahn, Sang-Mun Chon, Hoe-Sik Chung, Mi-Sook Jeon, Eun-Mi Bae, Baik-Soon Choi, Ok-Seok Jang, Young-Cheul Lim
  • Publication number: 20040009425
    Abstract: This invention concerns radiation durable organic compositions which are well-suited for use in 157 nm lithography by virtue of their high transparency and excellent radiation durability, and to a process for the preparation thereof.
    Type: Application
    Filed: March 6, 2003
    Publication date: January 15, 2004
    Inventors: Roger Harquail French, David Joseph Jones, Robert Clayton Wheland
  • Publication number: 20040009433
    Abstract: After forming a resist film from a positive chemically amplified resist material, an insolubilization treatment for making the surface of the resist film insoluble in a developer is carried out. After the insolubilization treatment, pattern exposure is performed by selectively irradiating the resist film with exposing light. Thereafter, the resist film is developed so as to form a resist pattern made of an unexposed portion of the resist film.
    Type: Application
    Filed: July 2, 2003
    Publication date: January 15, 2004
    Applicant: MATSUSHITA ELECTRIC INDUSTRIAL CO., LTD.
    Inventors: Masayuki Endo, Masaru Sasago
  • Patent number: 6677101
    Abstract: An object of the present invention is to provide a polymer which has excellent reactivity, rigidity and adhesion to the substrate, and undergoes a low degree of swelling during development, a resist material which uses this polymer as the base resin and hence exhibits much higher resolving power and etching resistance than conventional resist materials, and a pattern formation method using this resist material. Specifically, the present invention provides a novel polymer containing repeating units represented by the following general formula (1-1) or (1-2) and having a weight-average molecular weight of 1,000 to 500,000, a resist material using the polymer as a base resin, and a pattern formation method using the resist material.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: January 13, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsunehiro Nishi, Koji Hasegawa, Mutsuo Nakashima
  • Patent number: 6677250
    Abstract: The invention includes a method of forming a layer on a semiconductor substrate that is provided within a reaction chamber. The chamber has at least two inlet ports that terminate in openings. A first material is flowed into the reaction chamber through the opening of a first of the inlet ports. At least a portion of the first material is deposited onto the substrate. The reaction chamber is purged by flowing an inert material into the reaction chamber through the opening of a second of the inlet ports. The inert material passes from the opening and through a distribution head that is positioned within the reaction chamber between the first and second openings. A second material can then be flowed into the chamber through an opening in a third inlet port and deposited onto the substrate. The invention also includes a chemical vapor deposition apparatus.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: January 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Philip H. Campbell, Craig M. Carpenter, Ross S. Dando, Kevin T. Hamer
  • Publication number: 20040005516
    Abstract: A lithography method for use in the manufacture of semiconductor devices, which prevents lithographic exposure of a periphery region or edge region of a semiconductor wafer and which prevents the formation of black silicon related particle contamination in a patterned region on the periphery region as a result of, e.g., a deep trench manufacturing process. A quencher solution is applied at peripheral areas of the wafer on which a photoresist layer is formed. The quencher solution neutralizes acid generated in the photoresist when the photoresist is exposed to radiation, thereby preventing the photoresist on the peripheral region of the wafer to dissolve during a subsequent developing process.
    Type: Application
    Filed: July 3, 2002
    Publication date: January 8, 2004
    Applicant: Infineon Technologies North America Corp.
    Inventor: Xiaochun Linda Chen
  • Patent number: 6673516
    Abstract: A coating composition for a chemically amplified positive resist includes (A) an acid generator which generates an acid upon irradiation with active light or radiant ray, (B) a resin ingredient which exhibits increased solubility in an alkaline aqueous solution by action of an acid, (C) an organic solvent, and (D) an octanone in a proportion of from 0.1 to 5 parts by weight relative to 100 parts by weight of the ingredient (B). Using this coating composition, a method of patterning a resist. The coating composition and the method can yield a positive resist having improved definition and depth of focus.
    Type: Grant
    Filed: November 6, 2001
    Date of Patent: January 6, 2004
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Satoshi Kumon, Kazufumi Sato
  • Publication number: 20030235765
    Abstract: The present invention relates to a photo mask and a method of manufacturing the same, and a method of forming a photosensitive film pattern using the photo mask. A photo mask pattern having an exposure region, a phase-inverse region and a photosensitive region is provided. The predetermined size and shape of the patterns on the photo mask are formed. Through this manner, distortions of the photosensitive film pattern upon development are compensated and a target photosensitive film pattern can be more accurately acquired. Furthermore, the depth of focus of a photolithography process can be increased.
    Type: Application
    Filed: December 6, 2002
    Publication date: December 25, 2003
    Inventor: Ji Suk Hong
  • Patent number: 6667145
    Abstract: A resist composition contains as a base resin a polymer represented by the following formula and having a Mw of 1,000-500,000. R1 is H, methyl or CO2R2, R2 is alkyl, R3 is H, methyl or CH2CO2R2, at least one of R4 to R7 is a carboxyl or hydroxyl-containing monovalent hydrocarbon group, and the reminders are independently H or alkyl, at least one of R8 to R11 is a monovalent hydrocarbon group of 2 to 15 carbon atoms containing a —CO2— partial structure, and the reminders are independently H or alkyl, R12 is a polycyclic hydrocarbon group or an alkyl group containing such a polycyclic hydrocarbon group, R13 is an acid labile group, Z is a divalent group of atoms to construct a 5- or 6-membered ring which contains a carboxylate, carbonate or acid anhydride therein, k is 0 or 1, x is a number from more than 0 to 1, “a” to “d” are from 0 to less than 1, x+a+b+c+d=1.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: December 23, 2003
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsunehiro Nishi, Takeru Watanabe, Takeshi Kinsho, Koji Hasegawa, Tomohiro Kobayashi, Jun Hatakeyama
  • Publication number: 20030232283
    Abstract: A method for forming photoresist layers on a substrate. First, a first photoresist material is coated on the substrate. Next, a second photoresist material is coated on the first photoresist material before the first photoresist material is baked. Thereafter, the substrate is baked at 100˜140° C. for 50˜80 seconds to simultaneously form a first photoresist layer and a second photoresist layer thereon. The method further includes a step of cooling the first and the second photoresist layers to room temperature after the baking is performed.
    Type: Application
    Filed: June 18, 2002
    Publication date: December 18, 2003
    Inventors: Chen-Cheng Yung, Ming-Chuan Wang, Chang-Hao Yang
  • Publication number: 20030232131
    Abstract: In a method and an apparatus for coating an object with photosensitive material, a roller stabilizes a supply amount of the photosensitive material and is disposed between the object and a slit coater, so that the stabilized photosensitive material is supplied to the object through the slit coater. The photosensitive material includes additives for controlling amount of a solid powder, a boiling point and a surface tension thereof. Accordingly, the photosensitive material may be uniformly coated on the object.
    Type: Application
    Filed: May 1, 2003
    Publication date: December 18, 2003
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Sung-Ki Jung
  • Patent number: 6664023
    Abstract: A method for the controlled aging of a photoresist which provides an aged photoresist that has a targeted photospeed which is faster than a conventional unaged photoresist is provided. Specifically, the inventive method includes the step of aging a solution containing at least a photoresist resin composition at a temperature below the thermal decomposition of the photoresist resin composition, but not below 20° C., for a time period that is effective in achieving a targeted photospeed which is faster than a photospeed of an unaged photoresist.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: December 16, 2003
    Assignee: International Business Machines Corporation
    Inventors: Laird MacDowell, Erik Puttlitz
  • Publication number: 20030224251
    Abstract: A method for photo-imageable lacquer deposition for a display device. In one embodiment, a layer of photo-imageable lacquer is deposited on top of a faceplate of a display device. Portions of the lacquer layer are removed and selected portions of the lacquer layer remain deposited in the sub-pixel areas of the faceplate.
    Type: Application
    Filed: December 20, 2001
    Publication date: December 4, 2003
    Inventors: Olof M. Trollsas, Theodore S. Fahlen
  • Publication number: 20030224265
    Abstract: An exposure section of a 193 nm photolithography system is purged with a purge gas containing substantially no oxygen, such as nitrogen or an inert gas. This prevents oxidation of photoresist by photo-induced oxygen species that are produced in conventional 193 nm systems purged by clean dry air. A scanner and a stepper of the system are preferably calibrated to the optical properties of the purge gas. A protective layer may be provided over the photoresist to further protect the photoresist.
    Type: Application
    Filed: December 11, 2001
    Publication date: December 4, 2003
    Inventor: Uzodinma Okoroanyanwu
  • Patent number: 6653046
    Abstract: There are provided a coating liquid for providing an infrared sensitive layer on a photosensitive resin surface, which is obtained by dissolving or dispersing in a specific ester solvent a binder polymer soluble in the solvent, an infrared absorbing substance and, if desired, a non-infrared opaque substance, and a process for obtaining a seamless photosensitive resin sleeve printing plate, which comprises using the same.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: November 25, 2003
    Assignee: Asahi Kasei Kabushiki Kaisha
    Inventors: Shuji Kozaki, Shusaku Tabata
  • Publication number: 20030215739
    Abstract: A resist composition comprising a base polymer having a fluorinated sulfonate or fluorinated sulfone introduced therein is sensitive to high-energy radiation below 300 nm, has excellent transparency, contrast and adherence, and is suited for lithographic microprocessing.
    Type: Application
    Filed: March 25, 2003
    Publication date: November 20, 2003
    Inventors: Yuji Harada, Jun Hatakeyama, Yoshio Kawai, Masaru Sasago, Masayuki Endo, Shinji Kishimura, Kazuhiko Maeda, Michitaka Ootani, Haruhiko Komoriya
  • Patent number: 6645701
    Abstract: Prior to an exposure process in which a pattern formed on a mask is transferred by exposure onto photo-sensitized substrates, the temperature of the mask and/or the temperature of the photosensitized substrate is/are adjusted to an equilibrium temperatures which would be established during an exposure process, so that any inconvenience may be avoided, which may otherwise arise due to temperature changes with time in the environment of the exposure apparatus. Further, in a waiting interval during which no control sequence for exposure of a substrate is performed, a substrate stage for carrying a substrate is caused to wait at a position in the exposure apparatus at which stability against heat is obtained, so that any adverse effects may be minimized, which could occur due to changes in the temperature gradients prevailing in the exposure apparatus.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: November 11, 2003
    Assignee: Nikon Corporation
    Inventors: Kazuya Ota, Shin-ichi Takagi
  • Patent number: 6645682
    Abstract: A thinner for rinsing photoresist including 50 to 80 wt. % of n-butyl acetate, propylene glycol alkyl ether, and propylene glycol alkyl ether acetate, is provided. The thinner is neither toxic to humans nor ecologically undesirable and has no unpleasant odor. The waste solutions thereof and associated waste water are easily handed so as to render this thinner environmental friendly. Additionally, the photoresist thinner of the present invention has excellent rinsing ability.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: November 11, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-Sick Park, Jin-Ho Ju, Yu-Kyung Lee, Sung-Chul Kang, Sae-Tae Oh, Doek-Man Kang
  • Patent number: 6641975
    Abstract: A ternary copolymer of hydroxystyrene, tertiary alkyl (meth)acrylate and substitutable phenoxyalkyl (meth)acrylate having a Mw of 1,000-500,000 is blended as a base resin to formulate a chemically amplified, positive resist composition which has advantages including a significantly enhanced contrast of alkali dissolution rate before and after exposure, high sensitivity, high resolution, a satisfactory pattern profile after exposure, high etching resistance, and process adaptability.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: November 4, 2003
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Osamu Watanabe, Kazuhiro Hirahara, Kazunori Maeda, Wataru Kusaki, Shigehiro Nagura
  • Patent number: 6641986
    Abstract: Aqueous solutions comprising one or more acetylenic diol type surfactants are used to improve the wettability of a substrate surface by lowering the contact angle of the aqueous developer solution are enclosed herein. In one embodiment, the aqueous solution is used to prepare the surface of the substrate prior to development of the resist coating layer.
    Type: Grant
    Filed: August 12, 2002
    Date of Patent: November 4, 2003
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Peng Zhang, Danielle Megan King, Eugene Joseph Karwacki, Leslie Cox Barber
  • Publication number: 20030203316
    Abstract: A resist developer capable of forming a high resolution resist pattern with good shape and little film thinning is provided, together with a resist pattern formation method using such a developer. The resist developer is an aqueous solution comprising an ammonium hydroxide represented by a general formula (I): R1nR24−nN+.OH− wherein R1 is a lower alkyl group in which the number of carbon atoms is A, R2 is a lower alkyl group in which the number of carbon atoms is B, A<B, and n is an integer from 1 to 3.
    Type: Application
    Filed: April 24, 2003
    Publication date: October 30, 2003
    Applicant: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Kazuyuki Nitta
  • Publication number: 20030203311
    Abstract: This invention discloses an anti-charging layer for beam lithography and mask fabrication. This invention reduces beam displacement and increases pattern placement accuracy. The process will be used in the beam fabrication of high-resolution lithographic masks as well as beam direct write lithography of electronic devices. The anti-charging layer is formed by the use of metal films bound to metal ligating self-assembled monolayers (SAMs) as discharge layers.
    Type: Application
    Filed: April 15, 2003
    Publication date: October 30, 2003
    Inventors: Elizabeth Dobisz, Walter J. Dressick, Susan L. Brandow, Mu-San Chen
  • Patent number: 6638664
    Abstract: A method of correcting an optical mask pattern. A third pattern having a first strip-like pattern and a second strip-like pattern is provided. The first strip-like pattern attaches to the mid-section of the second strip-like pattern. A first modification step is conducted. A pair of assistant patterns is added to the respective sides of the first strip-like pattern to form a first modified pattern. A second modification step is conducted to shrink a portion of the first strip-like pattern to form a second modified pattern. Dimension in the reduced portion of the first strip-like pattern is a critical dimension of a main pattern. A third modification step is conducted using an optical proximity correction method. The second modified pattern is modified to a third modified pattern.
    Type: Grant
    Filed: September 18, 2001
    Date of Patent: October 28, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Chang-Jyh Hsieh, Jiunn-Ren Hwang, Kuei-Chun Hung, Chien-Ming Wang
  • Patent number: 6630285
    Abstract: A pattern can be precisely formed by irradiating, with an active energy beam, a positive sensitive resin composition according to this invention comprising a base polymer, an ether-bond-containing olefinic unsaturated compound and an acid-generating agent, where the base polymer is a copolymer comprising the structural units represented by formulas (1) to (3): where R1 and R3 are each independently hydrogen or methyl and R2 is C1-C6 straight or branched unsubstituted alkyl or C1-C6 straight or branched substituted alkyl, wherein a, b and c are 0.05 to 0.7, 0.15 to 0.8 and 0.01 to 0.5, respectively and a+b+c=1.
    Type: Grant
    Filed: October 14, 1999
    Date of Patent: October 7, 2003
    Assignee: Mitsui Chemicals, Inc.
    Inventors: Genji Imai, Ritsuko Fukuda, Toshiro Takao, Keiichi Ikeda, Yoshihiro Yamamoto
  • Patent number: 6627387
    Abstract: A method of photolithography. An anti-reflective coating is formed on the conductive layer. An nitrogen plasma treatment is performed. A photo-resist layer is formed and patterned on the anti-reflective coating. The conductive layer is defined. The photo-resist layer is removed. The anti-reflective layer is removed by using phosphoric acid.
    Type: Grant
    Filed: April 5, 2001
    Date of Patent: September 30, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Kevin Hsieh, Chih-Yung Lin, Chih-Hsiang Hsiao, Juan-Yuan Wu, Water Lur
  • Publication number: 20030180666
    Abstract: A method of forming a patterned photoresist layer. First, an anti-reflection coating layer is formed on a substrate. Next, a first bake is performed. A photoresist layer is then formed on the anti-reflection coating layer. Exposure is performed. A second bake is performed, wherein the temperature difference between the first bake and the second bake is about 35° C.˜55 ° C. Finally, development is performed. The patterned photoresist layer features have perfect profiles in accordance with this invention.
    Type: Application
    Filed: July 10, 2002
    Publication date: September 25, 2003
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Yuan-Hsun Wu, Wen-Bin Wu, Yung Long Hung, Ya Chih Wang
  • Publication number: 20030180665
    Abstract: This invention discloses compositions that can be polymerized/crosslinked imagewise upon exposure to ionization radiation such as x-ray, electron beam, ion beam, and gamma-ray. This invention also discloses methods of use for these compositions for microfabrication of ceramics, for stereolithography, and for x-ray, e-beam, and ion-beam lithography which can be used for photoresists.
    Type: Application
    Filed: March 21, 2003
    Publication date: September 25, 2003
    Inventor: Ying Wang
  • Publication number: 20030180667
    Abstract: A composition for reducing development defects comprising an acidic composition containing, for example, a surfactant applied onto a chemically amplified photoresist coating formed on a substrate having a diameter of 8 inches or more. By this process, the surface of the resist is rendered hydrophilic and the formation of slightly soluble layer in a developer on the surface of the resist is prevented. In addition, by proper diffusion amount of acid from the composition for reducing development defects, the amount of reduction in thickness of the chemically amplified photoresist coating after development is increased by 10 Å to 500 Å in comparison with the case of not applying the composition for reducing development defects to form a resist pattern not having a deteriorated pattern profile such as T-top or round top.
    Type: Application
    Filed: December 18, 2002
    Publication date: September 25, 2003
    Inventors: Yusuke Takano, Kazuyo Ijima, Satoru Funato, Hatsuyuki Tanaka
  • Patent number: 6623909
    Abstract: Polymers comprising recurring units of formula (1) are provided wherein R1 is a straight, branched or cyclic divalent C1-20 hydrocarbon group or a bridged cyclic hydrocarbon group, R is hydrogen atom or an acid labile group, 0≦m≦3, 0≦n≦3 and 0≦m+n≦6. Using the polymers, chemical amplification positive resist compositions featuring low absorption of F2 excimer laser light are obtained.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: September 23, 2003
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Toshiaki Takahashi, Toshinobu Ishihara, Jun Watanabe, Tohru Kubota, Yoshio Kawai
  • Patent number: 6617079
    Abstract: A process and system are provide for determining the acceptability of a fluid dispense such as a discrete volume of fluid used to coat a substrate. The fluid dispense is exposed to an energy source and the energy transmitted by the fluid dispense is detected to determine the shape of the fluid dispense. The fluid dispense shape and the timing of the beginning and end of the dispense are compared to previously generated standard dispense profiles and used to determine the acceptability of the shape and/or timing of the fluid dispense. The output from the sensor is used to control further processing of the substrate.
    Type: Grant
    Filed: June 19, 2000
    Date of Patent: September 9, 2003
    Assignee: Mykrolis Corporation
    Inventors: John E. Pillion, Robert McLoughlin, Jieh-Hwa Shyu
  • Publication number: 20030165756
    Abstract: In a developing method for performing developing treatment of a substrate by supplying a developing solution onto a resist film formed on a surface of the substrate, the present invention controls a zeta potential of the surface of the substrate at a predetermined potential in the same polarity as that of a zeta potential of insoluble substances floating in the developing solution, thereby preventing or reducing the adhesion of the insoluble substances to the resist film and the substrate. This remedies the occurrence of development defects. The adhesion of the insoluble substances to the resist film and the substrate can also be prevented or inhibited by supplying an acid liquid to a liquid on the substrate, or controlling a pH value of the liquid on the substrate to control an absolute value of the zeta potential of the insoluble substances.
    Type: Application
    Filed: February 26, 2003
    Publication date: September 4, 2003
    Inventors: Yuko Ono, Junichi Kitano
  • Patent number: 6610465
    Abstract: The present invention provides a method for producing a film forming resin suitable for use in a photoresist composition, involving the following steps: (a) providing a solution of a film forming resin in a solvent; (b) providing the following two filter sheets: (i) a filter sheet containing a self-supporting fibrous matrix having immobilized therein a particulate filter aid and particulate ion exchange resin particles, where the particulate filter aid and ion exchange resin particles are distributed substantially uniformly throughout a cross-section of said matrix; and (ii) a filter sheet containing a self-supporting matrix of fibers having immobilized therein particulate filter aid and binder resin; (c) rinsing the filter sheets of step (b) with the solvent of step (a); and (d) passing the solution of the film forming resin through the rinsed filter sheets of step (c).
    Type: Grant
    Filed: April 11, 2001
    Date of Patent: August 26, 2003
    Assignee: Clariant Finance (BVI) Limited
    Inventors: M. Dalil Rahman, Douglas McKenzie, Takanori Kudo, Munirathna Padmanaban
  • Patent number: 6607870
    Abstract: The present invention provides radiation sensitive compositions and methods that comprise novel means for providing relief images of enhanced resolution. In one aspect the invention provides a method for controlling diffusion of photogenerated acid comprising adding a polar compound to a radiation sensitive composition and applying a layer of the composition to a substrate; exposing the composition layer to activating radiation whereby a latent image is generated comprising a distribution of acid moieties complexed with the polar compound; and treating the exposed composition layer to provide an activating amount of acid.
    Type: Grant
    Filed: August 11, 1999
    Date of Patent: August 19, 2003
    Assignee: Shipley Company, L.L.C.
    Inventors: James W. Thackeray, Angelo A. Lamola
  • Patent number: 6605408
    Abstract: A hydrogenated product of a ring-opening metathesis polymer comprising structural units as shown below has improved heat resistance, pyrolysis resistance and light transmission and is suited as a photoresist for semiconductor microfabrication using UV or deep-UV. A resist composition comprising the polymer as a base resin is sensitive to high-energy radiation and has excellent sensitivity, resolution, and etching resistance.
    Type: Grant
    Filed: April 12, 2001
    Date of Patent: August 12, 2003
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsunehiro Nishi, Takeshi Kinsho, Shigehiro Nagura, Tomohiro Kobayashi, Satoshi Watanabe