Processing Feature Prior To Imaging Patents (Class 430/327)
  • Patent number: 6228563
    Abstract: Adherent matrix layers such as post-etch and other post-process residues are removed from a substrate by exposing them to a vapor phase solvent to allow penetration of the vapor phase solvent into the adherent matrix layers and condensing the vapor phase solvent into the adherent matrix layers and revaporized to promote fragmentation of the matrix and facilitate removal. Megasonic energy may be transmitted via a transmission member to the adherent matrix through the solvent condensed thereon to loosen fragments and particles. The substrate is typically rotated to improve contact between the megasonic energy transmission member and the condensed solvent and achieve more uniform cleaning. A co-solvent which is soluble in the vapor phase solvent may be added to enhance removal of specific adherent matrix materials.
    Type: Grant
    Filed: September 17, 1999
    Date of Patent: May 8, 2001
    Assignee: Gasonics International Corporation
    Inventors: Vladimir Starov, Syed S. Basha, Krishnan Shrinivasan, Karen A. Reinhardt, Aleksandr Kabansky
  • Patent number: 6228544
    Abstract: While a current photosensitive substrate is being exposed on a substrate stage, the next photosensitive substrate for exposure is loaded on a temperature-adjustment plate for a predetermined time to remove a quantity of heat corresponding to a heat accumulation on the substrate stage during exposure. A substrate transporting system carries and loads the next photosensitive substrate, which has been cooled by the temperature-adjustment plate, onto the substrate stage. A pattern image of a mask is exposed and transferred onto the next photosensitive substrate through a projection optical system.
    Type: Grant
    Filed: September 2, 1999
    Date of Patent: May 8, 2001
    Assignee: Nikon Corporation
    Inventor: Kazuya Ota
  • Patent number: 6228561
    Abstract: A solvent of a resist solution is dropped from a solvent supply nozzle onto the surface of a semiconductor wafer held by a spin chuck. The semiconductor wafer is rotated by the spin chuck to spread the resist solution over the entire surface of the semiconductor wafer W. Simultaneously, the resist solution is dropped from a resist solution supply nozzle onto the semiconductor wafer and spread following the solvent. During the processing, the processing space is isolated from the outer atmosphere by closing a lid of a processing vessel and a sprayed solvent is supplied into the processing space. The processing space is thus filled with the mist of solvent. In the processing space supplied with the solvent, evaporation of the solvent from the resist solution is suppressed. A film of the resist solution is formed with a uniform film thickness to the edge of the semiconductor wafer W.
    Type: Grant
    Filed: January 31, 1997
    Date of Patent: May 8, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Keizo Hasebe, Shuuichi Nishikido, Nobuo Konishi, Takayuki Toshima, Kazutoshi Yoshioka
  • Patent number: 6207357
    Abstract: Methods of forming layers of photoresist and apparatus for forming photoresist are described. In one embodiment, a wafer is provided and photoresist is applied thereover. The wafer is rotated while the photoresist is baked. In another embodiment, a wafer having photoresist formed thereover is positioned at a baking station. After positioning, the wafer is moved while exposed to baking conditions at the station. In another embodiment, a wafer having photoresist applied thereover is positioned on a rotatable hot plate at a photoresist baking station. The rotatable hot plate is rotated during at least some of the time the wafer is baked at the station. In another embodiment, photoresist is applied over a wafer surface and into a predefined non-uniform thickness over the surface. The non-uniform thickness is modified over the surface into a more uniform thickness while the photoresist is baked.
    Type: Grant
    Filed: April 23, 1999
    Date of Patent: March 27, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Anthony C. Krauth
  • Patent number: 6190828
    Abstract: A method for making a lithographic printing master is disclosed. The method comprises a step of providing a hydrophilic cylindrical surface, e.g. an aluminium plate mounted on the plate cylinder of a printing press, with an image recording composition by transfer from a donor material. The donor material comprises a support and a transfer layer which comprises hydrophobic thermoplastic polymer particles dispersed in a hydrophilic binder and a compound capable of converting light to heat. The transfer is obtained by friction between the donor layer and the cylindrical surface and/or by moistening the cylindrical surface with an aqueous liquid. The method is especially suited for on-press coating and on-press imaging in computer-to-press procedures.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: February 20, 2001
    Assignee: Agfa-Gevaert, N.V.
    Inventors: Eric Verschueren, Joan Vermeersch
  • Patent number: 6187485
    Abstract: A method of forming raised and recessed patterns comprising: the first step of forming over a substrate a photosensitive resin layer including an alkali-insoluble resin and a negative type photosensitive resin, or the first step of forming over a substrate a photosensitive resin layer including a thermosetting resin and a negative type photosensitive resin and then heat-treating the photosensitive resin layer to harden at least a part of the thermosetting resin; the second step of exposing the photosensitive resin layer; and the third step of developing the photosensitive resin layer and hardening it to form a raised and recessed pattern including raised portions representing an exposed region and recessed portions representing an unexposed region. Liquid crystal display color filters which comprises over the substrate a colored layer, and a transparent protective layer and transparent columnar raised portions formed by one of the above two methods.
    Type: Grant
    Filed: February 25, 1999
    Date of Patent: February 13, 2001
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Kinji Matsushima, Tomonobu Sumino, Yukihiro Andou
  • Patent number: 6187506
    Abstract: The present invention relates to a novel antireflective coating solution and a process for its use in photolithography. The antireflective coating solution comprises a novel polymer and an organic solvent or mixture of solvents, where the novel polymer comprises a unit containing a dye that absorbs from about 180 nm to about 450 nm and does not contain a crosslinking group.
    Type: Grant
    Filed: August 5, 1999
    Date of Patent: February 13, 2001
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Shuji Ding, Dinesh N. Khanna, Mark A. Spak, Dana L. Durham, Jianhui Shan, Eleazer Gonzalez
  • Patent number: 6184156
    Abstract: A method and system of flattening resist mounds formed during a wet edgebead operation. The wet edgebead operation is used to remove edgebeads formed when a resist material is deposited on a semiconductor wafer. Solvent is introduced to the semiconductor wafer at the area containing the resist mounds to soften them, and the semiconductor wafer is spun at a high speed to flatten the mounds.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: February 6, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jonathan Alan Orth
  • Patent number: 6177233
    Abstract: A method of forming a resist pattern comprising the steps of depositing a resist on a semiconductor substrate, performing a first exposure on the resist using a reticle with a certain pattern formed on it as a mask to change the degree of polymerization at the exposed area in the resist, causing diffusion of a silicon compound to silylate selectively a part of the surface of the resist, performing a second exposure on the resist so that light passing through the silylated area and the unsilylated area become inverse in phase, and developing the resist for forming a micropattern on the resist.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: January 23, 2001
    Assignee: Sony Corporation
    Inventor: Fumikatsu Uesawa
  • Patent number: 6174651
    Abstract: The present invention is generally directed to a process and a system for forming photoresist coatings on a semiconductor wafer. In particular, according to the present invention, a solution containing a photoresist material is atomized in a reaction vessel and directed towards a semiconductor wafer. The semiconductor wafer can be preheated. The atomized liquid is heated, such as by being exposed to light energy which causes the photoresist material to form a coating on the substrate.
    Type: Grant
    Filed: January 14, 1999
    Date of Patent: January 16, 2001
    Assignee: Steag RTP Systems, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 6174650
    Abstract: In a clean room, after conducting a surface treatment on the surface of a semiconductor substrate with 4-trimethylsiloxy-3-penten-2-one, the treated surface of the semiconductor substrate is coated with a chemically amplified resist, thereby forming a first resist film. Then, the first resist film is successively subjected to exposure, PEB and development, thereby forming a first resist pattern of the chemically amplified resist. Next, in the same clean room, after conducting a surface treatment on the surface of the semiconductor substrate with 4-dimethyl-n-hexylsiloxy-3-penten-2-one, the treated surface of the semiconductor substrate is coated with a non-chemically amplified resist, thereby forming a second resist film. Then, the second resist film is successively subjected to the exposure, the PEB and the development, thereby forming a second resist pattern of the non-chemically amplified resist.
    Type: Grant
    Filed: January 22, 1998
    Date of Patent: January 16, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masayuki Endo, Toru Fukumoto, Hiromi Ohsaki
  • Patent number: 6165694
    Abstract: A method for preventing the formation of recesses in the surface of a borophosphosilicate glass layer comprising the step of first forming a borophosphosilicate glass layer over a substrate, then forming a silicon nitride film having a thickness of about 300.ANG. to 1000.ANG. over the borophosphosilicate glass layer. Next, contact windows are formed, followed by cleaning with an RCA solution. The silicon nitride film provides a protective function preventing the formation of recesses on the borophosphosilicate glass surface. Consequently, no short-circuiting metal bridges caused by metal in the recesses after the deposition of metallic conducting wires are formed.
    Type: Grant
    Filed: February 13, 1998
    Date of Patent: December 26, 2000
    Assignee: United Semiconductor Corp.
    Inventor: Tsan-Wen Liu
  • Patent number: 6165697
    Abstract: Antihalation compositions and methods for reducing the reflection of exposure radiation of a photoresist overcoated said compositions. The antihalation compositions of the invention comprise a resin binder and material capable of causing a thermally induced crosslinking reaction of the resin binder.
    Type: Grant
    Filed: November 15, 1991
    Date of Patent: December 26, 2000
    Assignee: Shipley Company, L.L.C.
    Inventors: James W. Thackeray, George W. Orsula
  • Patent number: 6162592
    Abstract: Methods of fabricating microelectronic devices comprise applying compositions comprising salt additives and basic components to resists to decrease the surface roughness of the resists and form the microelectronic devices having the resists present therein.
    Type: Grant
    Filed: October 6, 1998
    Date of Patent: December 19, 2000
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: James Welch Taylor, Geoffrey William Reynolds
  • Patent number: 6159660
    Abstract: A method of forming a number of closely spaced electrodes is described wherein covering the electrodes with a conformal layer of oxide or nitride deposited using plasma enhanced chemical vapor deposition does not result in the formation of restricted regions or keyholes between adjacent electrodes. The method uses de-focussing to form the electrode mask pattern in a layer of photoresist. The focal plane in which the electrode pattern is focussed is positioned a de-focus distance above the layer of photoresist. The de-focus method results in electrodes having a trapezoidal cross section wherein the bottom of the electrode is wider than the top of the electrode. The trapezoidal cross section avoids the formation of restricted regions or keyholes when the electrodes are covered with a conformal dielectric layer, such as a layer of oxide or nitride deposited using plasma enhanced chemical vapor deposition.
    Type: Grant
    Filed: February 3, 1997
    Date of Patent: December 12, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Hsin-Pai Chen, An-Min Chiang, Pei-Hung Chen
  • Patent number: 6159664
    Abstract: The invention relates to a method of manufacturing a matrix for the production of optical disks by applying a photoresist film to an unstructured matrix plate and structuring the photoresist film by selectively exposing and developing said film. When a negatively acting photoresist is used, the matrix may be metal-plated on the surface provided with the photoresist film after structuring of the photoresist film. Structuring of the photoresist film may comprise heating of the selectively exposed photoresist film, integral exposure of the photoresist film prior to developing and heating of the photoresist film following developing. The unstructured matrix plate may be etched, using the structured photoresist film as a mask, after which the photoresist film is removed to produce the matrix. Prior to applying the photoresist film to the unstructured matrix plate a metal film may be applied, to a thickness equal to the height of the structure desired in the matrix.
    Type: Grant
    Filed: July 15, 1996
    Date of Patent: December 12, 2000
    Assignee: ODME International B.V.
    Inventors: Maria Elizabeth Reuhman-Huisken, Alex Thomas Van der Plaat
  • Patent number: 6150076
    Abstract: A process for treating the periphery of an unexposed photosensitive resin plate, which comprises irradiation of light having a wavelength of not longer than 300 nm on the periphery of the unexposed photosensitive resin plate until the periphery is free of stickiness. The process of the present invention solves problems such as sticking of the peripheral resin of unexposed plates to packages, sticking of unexposed resin to a working table, exposure table or vacuum adhesion sheet, sticking of dust, and so on on the periphery of unexposed plates, and sticking of unexposed resin to hands when handling, while eliminating all defects in handling during the steps of making printing plates.
    Type: Grant
    Filed: February 19, 1992
    Date of Patent: November 21, 2000
    Assignee: Toyo Boseki Kabushiki Kaisha
    Inventors: Katsumasa Yamamoto, Takamitsu Ariki, Kosaku Onodera, Masaru Nampei
  • Patent number: 6143478
    Abstract: A resist processing method includes (a), to a substrate having a circuit pattern with an uneven surface formed thereon, coating a photoresist solution to, by doing so, form a photoresist film, (b) subjecting the substrate to heat processing to cause a portion of the photoresist film to be chemically modified to create a modified resist layer of a substantially uniform thickness from the uneven surface of the circuit pattern, and (c) selectively removing only a resist portion unmodified at the step (b) to leave a modified resist layer on the uneven surface of the circuit pattern.
    Type: Grant
    Filed: May 19, 1998
    Date of Patent: November 7, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Takayuki Toshima, Nobuo Konishi
  • Patent number: 6136510
    Abstract: The accuracy of photolithographic processing, particularly in forming small diameter through holes and/or trenches in a dielectric layer, is improved by double-sided scrubbing the wafer prior to photolithography. It was found that particles adhering to the wafer backside resulting from prior processing steps cause inaccurate photolithographic processing, particularly at a submicron level. Double-sided wafer scrubbing removes such adhering particles, thereby improving photolithographic accuracy.
    Type: Grant
    Filed: February 13, 1997
    Date of Patent: October 24, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Tho Le La, Subramanian N. Venkatkrishnan, Mark T. Ramsbey, Jack F. Thomas, Kathleen Regina Early
  • Patent number: 6136513
    Abstract: The present invention comprises a method of making a circuitized structure. The method comprises the steps of providing a substrate coated with a polymeric dielectric layer, treating the substrate with alkali, baking the substrate to modify the surface of the polymeric dielectric layer, applying a seed layer to the polymeric dielectric layer and applying a conductive layer to the seed layer. The invention also comprises a printed circuit structure produced by the method of the present invention.
    Type: Grant
    Filed: April 23, 1998
    Date of Patent: October 24, 2000
    Assignee: International Business Machines Corporation
    Inventors: Anastasios P. Angelopoulos, Gerald W. Jones, Luis J. Matienzo, Thomas R. Miller, William D. Taylor
  • Patent number: 6136514
    Abstract: In one embodiment, the present invention relates to a method of processing a semiconductor structure including a resist thereon, involving the steps of exposing the semiconductor structure including the resist to actinic radiation; contacting the semiconductor structure including the exposed resist with a solution comprising water and from about 0.01% to about 5% by weight of a surfactant; and developing the resist with a developer.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: October 24, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khoi A. Phan, Ramkumar Subramanian, Bharath Rangarajan, Bhanwar Singh
  • Patent number: 6117619
    Abstract: An antireflective coating (ARC) or antireflective layer (ARL) is interposed between a photoresist layer and an underlying substrate. The ARC includes an optically absorptive polysilicon germanium or polysilicon first layer, deposited by low pressure chemical vapor deposition (LPCVD). An optically transmissive second layer is grown on the first layer by oxidizing it at low temperature. The low temperature oxidation accurately controls the thickness, and optical impedance, of the second layer. The optical impedances of the second and photoresist layers are matched for minimizing reflections and reducing photolithographic limitations such as swing effect and reflective notching. The low temperature oxidation is compatible with low thermal budget layers (e.g., aluminum or other metals), which are typically highly reflective at ultraviolet (UV) and deep ultraviolet (DUV) lithographic exposure wavelengths.
    Type: Grant
    Filed: January 5, 1998
    Date of Patent: September 12, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6110649
    Abstract: The invention relates to a dielectric material and a process for forming an integrated circuit device comprising (i) a substrate; (ii) metallic circuit lines positioned on the substrate and (iii) a dielectric material positioned on the circuit lines. The dielectric material comprises condensed organic polysilica and porous particles.
    Type: Grant
    Filed: November 19, 1997
    Date of Patent: August 29, 2000
    Assignee: International Business Machines Corporation
    Inventors: Kenneth Raymond Carter, Craig Jon Hawker, James Lupton Hedrick, Robert Dennis Miller, Bernhard Pogge
  • Patent number: 6100015
    Abstract: In formation of a resist pattern using a chemical amplification type resist, a conspicuous sparingly soluble surface layer and film reduction are suppressed by controlling the ammonia concentration in the exposure/development atmosphere to the range of 2 to 9 ppb, thereby eliminating formation of a T-shaped resist pattern and film reduction. With this method, formation of the T-shaped resist pattern and film reduction are almost completely eliminated, so a rectangular resist pattern can be obtained, and additionally, the focal depth and dimensional accuracy can be improved.
    Type: Grant
    Filed: February 23, 1998
    Date of Patent: August 8, 2000
    Assignee: NEC Corporation
    Inventor: Mitsuharu Yamana
  • Patent number: 6087076
    Abstract: A method of manufacturing semiconductor devices includes a coating step for coating a substrate using a resist solution including a base resin and a low-oxygen or oxygen-free solvent in which oxygen is removed by nitrogen bubbling, a heating step for heating the substrate coated with the resist, an exposing step for exposing the substrate with radiation to transfer a pattern, and a developing step for developing the exposed substrate. The coating step, the heating step, the exposing step and the developing step are performed under an environment controlled in a low-oxygen or oxygen-free state.
    Type: Grant
    Filed: November 13, 1997
    Date of Patent: July 11, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keita Sakai, Keiko Chiba, Hiroshi Maehara
  • Patent number: 6054255
    Abstract: To the surface of a semiconductor substrate made of silicon, isopropenoxytrimethylsilane is supplied as a surface treating agent to render the surface of the semiconductor substrate hydrophobic and increase adhesion to the semiconductor substrate. Thus, Si(CH.sub.3).sub.3 (trimethylsilyl group) is substituted for the hydrogen atom of an OH group on the surface of the semiconductor substrate, resulting in (CH.sub.3).sub.2 CO (acetone). Subsequently, a chemically amplified resist is applied to the surface of the semiconductor substrate and exposed to light by using a desired mask, followed sequentially by PEB and development for forming a pattern. Since the surface treating agent does not generate ammonia, there can be formed a pattern in excellent configuration with no insoluble skin layer formed thereon.
    Type: Grant
    Filed: July 3, 1997
    Date of Patent: April 25, 2000
    Assignees: Matsushita Electric Industrial Co., Ltd., Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoko Nakaoka, Masayuki Endo, Hiromi Ohsaki, Akiko Katsuyama
  • Patent number: 6048668
    Abstract: Patterning a film by accumulating a first electric charge in a first area of a film under treatment, applying a resist to the film, and subsequently exposing a second area of the resist adjoining the first area to the first electric charge.
    Type: Grant
    Filed: February 3, 1998
    Date of Patent: April 11, 2000
    Assignee: Fujitsu Limited
    Inventor: Tsunehiro Hato
  • Patent number: 6048672
    Abstract: The invention includes use of a positive chemically amplified photoresist composition that produces a strong photogenerated acid. The resist is coated onto a metal substrate that has been subjected to a stringent bake step, e.g. heating of the substrate at about at least 140.degree. C. for more than 60 seconds. The combined use of strong photogenerated acid and stringent pre-coating substrate bake provides highly resolved resist relief images, including on metal substrates.
    Type: Grant
    Filed: February 20, 1998
    Date of Patent: April 11, 2000
    Assignee: Shipley Company, L.L.C.
    Inventors: James F. Cameron, Martha M. Rajaratnam, Roger F. Sinta, James W. Thackeray
  • Patent number: 6045978
    Abstract: A photosensitive photoresist material which is effective for use as an ion etch barrier layer after patterning. The photoresist composition includes the reaction product of a compound having the general formula R.sub.1 --COO--(CH.sub.2).sub.n --O--R.sub.2 and a silylating agent.
    Type: Grant
    Filed: December 14, 1998
    Date of Patent: April 4, 2000
    Assignee: TRW Inc.
    Inventors: Dean Tran, William L. Jones, Harvey N. Rogers
  • Patent number: 6025117
    Abstract: A polysilane having a repeating unit represented by the following general formula (LPS-I), ##STR1## wherein A is a bivalent organic group, R.sup.1 substituents may be the same or different and are selected from hydrogen atom and substituted or unsubstituted hydrocarbon group and silyl group. The polysilane is excellent in solublity in an organic solvent so that it can be formed into a film by way of a coating method, which is excellent in mechanical strength and heat resistance. The polysilane can be employed as an etching mask to be disposed under a resist in a manufacturing method of a semiconductor device. The polysilane exhibits anti-reflective effect during exposure, a large etch rate ratio in relative to a resist, and excellent dry etching resistance.
    Type: Grant
    Filed: December 8, 1997
    Date of Patent: February 15, 2000
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshihiko Nakano, Rikako Kani, Shuji Hayase, Yasuhiko Sato, Seiro Miyoshi, Toru Ushirogouchi, Sawako Yoshikawa, Hideto Matsuyama, Yasunobu Onishi, Masaki Narita, Toshiro Hiraoka
  • Patent number: 6022672
    Abstract: A method, system or device for forming a resist pattern applied to fine processing, such as that for preparation of a semiconductor device. The wafer transportation system for the process affected by processing conditions of the process, such as the temperature or time, is provided independently of the wafer transportation system for other processes in order to prevent heat transmission through a transport arm to assure a more accurate resist forming operation and to control the wafer transportation time between the processes more accurately and promptly. Pattern formation may be achieved more accurately through the use of a chemical amplification resist material subject to influences from the wafer environment.
    Type: Grant
    Filed: March 25, 1996
    Date of Patent: February 8, 2000
    Assignee: Sony Corporation
    Inventor: Rikio Ikeda
  • Patent number: 6004729
    Abstract: A method of forming an integrated circuit device includes the steps of forming a conductive pattern on an integrated circuit device, and forming an insulating layer on the conductive pattern and on the integrated circuit substrate. An upper surface portion of the insulating layer opposite the substrate is removed, and a photoresist layer is formed on the insulating layer after the step of removing the upper surface portion. The photoresist layer is patterned, and exposed portions of the insulating layer are etched using the patterned photoresist layer as an etching mask thereby forming contact holes through the insulating layer.
    Type: Grant
    Filed: October 31, 1997
    Date of Patent: December 21, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Tae Bae, Do-Han Lee, Ho-Ki Kim
  • Patent number: 6001542
    Abstract: A method and system of flattening resist mounds formed during a wet edgebead operation. The wet edgebead operation is used to remove edgebeads formed when a resist material is deposited on a semiconductor wafer. Solvent is introduced to the semiconductor wafer at the area containing the resist mounds to soften them, and the semiconductor wafer is spun at a high speed to flatten the mounds.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: December 14, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jonathan Alan Orth
  • Patent number: 5998103
    Abstract: A method for forming a hydrophobic material layer upon a hydrophilic material layer over a substrate. There is first provided a substrate. There is then formed over the substrate a hydrated hydrophilic material layer. There is then treated the hydrated hydrophilic material layer with a glycol ether acetate material in the absence of an organofunctional silane material to form a glycol ether derivatized hydrophilic material layer having a glycol ether moiety covalently bonded thereto through condensation of the hydrated hydrophilic material layer with the glycol ether acetate material. Finally, there is then formed upon the glycol ether derivatized hydrophilic material layer a hydrophobic material layer. The method is particularly useful in enhancing adhesion onto hydrated hydrophilic material layers of positive photoresist material layers whose solubility in a developer results from a photogenerated acid material.
    Type: Grant
    Filed: April 6, 1998
    Date of Patent: December 7, 1999
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventor: Ai-Qiang Zhang
  • Patent number: 5994036
    Abstract: A method of forming a resist pattern comprises the following steps. A resist is applied on a wafer for subsequent baking the same. Subsequently, the resist-applied wafer is then stored in an atmosphere maintained at a humidity of not less than 80% until the resist-applied wafer is placed in an exposure system for exposure thereof by use of a photo-mask. A development of the exposed resist on the wafer is carried out to form a resist pattern. It is possible to further store the wafer in a clean room before the exposure. The above resist is preferably a chemical sensitizing resist.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: November 30, 1999
    Assignee: NEC Corporation
    Inventor: Katsuyuki Itoh
  • Patent number: 5994029
    Abstract: The invention relates to a sheet-like radiation-sensitive recording material whose surface is a discontinuous dulling layer comprising monodisperse particles is present. The dulling layer can be produced by spraying on a dulling liquid. An apparatus comprising an oscillation generator, which can transmit oscillations to the liquid in the apparatus, and has an orifice plate which has at least one hole with a diameter of from 5 to 250 .mu.m and from which the liquid emerges in the form of at least one laminar free jet which disintegrates into monodisperse drops is suitable for this purpose. The oscillation generator is preferably a piezoelectric ceramic.
    Type: Grant
    Filed: March 30, 1998
    Date of Patent: November 30, 1999
    Assignee: Agfa-Gevaert AG
    Inventors: Guenter Brenn, Franz Durst, Andreas Elsaesser, Otfried Gaschler, Guenter Hutzsch, Klaus Joerg
  • Patent number: 5980026
    Abstract: A process for producing an ink jet head comprises the steps of providing a substrate provided with a liquid discharge energy-generating portion, forming a photosensitive resin layer on the substrate, patterning the photosensitive resin layer into a liquid flow path pattern to form a solid layer for forming a liquid flow path on the substrate, covering the solid layer with a liquid flow path wall construction material composed of a curable resin on the substrate, curing the liquid flow path wall construction material, and removing the solid layer by dissolution to form a liquid flow path, wherein the liquid flow path wall construction material comprises an epoxy resin composition containing an epoxy addition-modified aromatic amine curing agent.
    Type: Grant
    Filed: June 12, 1996
    Date of Patent: November 9, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Isao Imamura, Akihiko Shimomura
  • Patent number: 5968712
    Abstract: The present invention provides radiation sensitive compositions and methods that comprise novel means for providing relief images of enhanced resolution. In one aspect the invention provides a method for controlling diffusion of photogenerated acid comprising adding a polar compound to a radiation sensitive composition and applying a layer of the composition to a substrate; exposing the composition layer to activating radiation whereby a latent image is generated comprising a distribution of acid moieties complexed with the polar compound; and treating the exposed composition layer to provide an activating amount of acid.
    Type: Grant
    Filed: November 12, 1993
    Date of Patent: October 19, 1999
    Assignee: Shipley Company, L.L.C.
    Inventors: James W. Thackeray, Angelo A. Lamola
  • Patent number: 5968713
    Abstract: Alkali-developable, chemically amplified resist composition which comprises an alkali-insoluble, film-forming compound having a structural unit containing a protected alkali-soluble group in which unit a protective moiety of said protected alkali-soluble group is cleaved upon action of an acid generated from a photoacid generator used in combination with said compound, thereby releasing a protective moiety from the alkali-soluble group and converting said compound to an alkali-soluble one, and a photoacid generator capable of being decomposed upon exposure to a patterning radiation to thereby produce an acid capable of causing cleavage of said protective moiety. The resist composition is particularly suitable for excimer laser lithography using an alkaline developer, and the formed resist patterns can exhibit a high sensitivity and excellent dry etch resistance without swelling.
    Type: Grant
    Filed: July 18, 1997
    Date of Patent: October 19, 1999
    Assignee: Fujitsu Limited
    Inventors: Koji Nozaki, Ei Yano, Keiji Watanabe, Takahisa Namiki, Miwa Igarashi, Yoko Kuramitsu, Satoshi Takechi, Akiko Kotachi, Makoto Takahashi
  • Patent number: 5968691
    Abstract: Disclosed is a resist coating-developing method, including (a) a setting step for setting a target value and an allowable range thereof, (b) a resist-coating step, (c) a pre-baking step, (d) a first cooling step, (e) a light-exposure step, (f) a line width measuring step for measuring a line width of a latent image, (g) a post-baking step, (h) a second cooling step, (i) a developing step, (j) a judging step determining whether or not the value of the line width of the latent image measured in step (f) falls within the allowable range of the target value set in step (a), (k) a calculating step determining a difference between the measured value of the latent image line width and the target value, and (l) a correcting step for correcting the process condition in at least one previous step.
    Type: Grant
    Filed: January 30, 1998
    Date of Patent: October 19, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Kazutoshi Yoshioka, Kunie Ogata
  • Patent number: 5935765
    Abstract: Disclosed are methods for forming a resist pattern which solve a problem (dimensional precision degradation) caused by halation and interference phenomena due to reflected light from the substrate, and which are fine and have high precision even with substrates having high reflectivity or substrates having a transparent film or substrates with an uneven surface. A first method forms between the substrate and resist film an anti-reflective film whose photoabsorbance of the exposure light is greater on the substrate surface side than on the resist surface side. A second method forms between the substrate and resist film a two-layer anti-reflective film made up of an upper-layer film which is an interference film for the exposure light and a lower-layer film which has higher exposure light absorbance than the upper-layer film and functions as a light shielding film.
    Type: Grant
    Filed: September 24, 1998
    Date of Patent: August 10, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Toshihiko Tanaka, Shoichi Uchino, Naoko Asai
  • Patent number: 5934196
    Abstract: A processless visible light insensitive, IR sensitive printing member, is provided together with a method for producing the printing member. The method includes the steps of providing a visible light sensitive printing member and the step of processing the visible light sensitive printing member so as to receive a visible light insensitive, IR radiation sensitive printing member therefrom. The processing step is carried out in a visible light free environment.
    Type: Grant
    Filed: February 20, 1997
    Date of Patent: August 10, 1999
    Assignee: Scitex Corporation Ltd.
    Inventor: Aharon Korem
  • Patent number: 5905019
    Abstract: The present invention utilizes a sub-threshold exposure step on an optically sensitive resist that is applied to a semiconductor wafer to thin the resist below the thickness which can be achieved by normal spinning and/or thinning techniques. Furthermore, the thinned resist can be re-expose to UV energies so as to develop patterns on the surface of the semiconductor wafer. An apparatus for vibrating and rotating the resist during the sub-threshold step is also disclosed herein.
    Type: Grant
    Filed: September 26, 1997
    Date of Patent: May 18, 1999
    Assignee: International Business Machines Corporation
    Inventor: Christopher E. Obszarny
  • Patent number: 5888701
    Abstract: A photosensitive printing element having an overall layer of powder material and a process for making a flexographic printing plate from such an element are disclosed. The photosensitive element includes a support, a photopolymerizable layer, and a layer of powder material on the photopolymerizable layer. The layer of powder material can be opaque or transparent depending upon desired use.
    Type: Grant
    Filed: October 1, 1997
    Date of Patent: March 30, 1999
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Roxy Fan
  • Patent number: 5885755
    Abstract: A developing treatment apparatus has a spin chuck for supporting a semiconductor wafer on which a photoresist to be developed is formed by suction and rotating it at least two rotating speeds including a low speed and a high speed, a washing liquid supplying nozzle for supplying pure water onto the surface the photoresist, and a developer supplying nozzle for supplying a developer on the same surface. While the semiconductor wafer is supported on the spin chuck by suction and rotated at a low speed, pure water is supplied from the tip of the washing liquid supplying nozzle.
    Type: Grant
    Filed: April 29, 1998
    Date of Patent: March 23, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Seiji Nakagawa, Yasushi Ito
  • Patent number: 5879863
    Abstract: Disclosed is a method of forming a pattern, comprising the steps of forming an underlying film on a semiconductor substrate, bringing a vapor of a neutralizer, which generates an acid upon exposure to light, into contact with the surface of the underlying film so as to form a primer layer, coating the primer layer with a chemical amplification resist, and selectively exposing the resist layer to light, followed by developing to form a resist pattern.
    Type: Grant
    Filed: January 22, 1997
    Date of Patent: March 9, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tsukasa Azuma, Masaki Narita, Katsuya Okumura
  • Patent number: 5874202
    Abstract: A method and system of flattening resist mounds formed during a wet edgebead operation. The wet edgebead operation is used to remove edgebeads formed when a resist material is deposited on a semiconductor wafer. Solvent is introduced to the semiconductor wafer at the area containing the resist mounds to soften them, and the semiconductor wafer is spun at a high speed to flatten the mounds.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: February 23, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jonathan Alan Orth
  • Patent number: 5866281
    Abstract: The present invention provides a procedure for achieving accurate alignment between an X-ray mask and a device substrate for the fabrication of multi-layer microstructures. A first photoresist layer on the substrate is patterned by a first X-ray mask to include first alignment holes along with a first layer microstructure pattern. Mask photoresist layers are attached to second and subsequent masks that are used to pattern additional photoresist layers attached to the microstructure device substrate. The mask photoresist layers are patterned to include mask alignment holes that correspond in geometry to the first alignment holes in the first photoresist layer on the device substrate. Alignment between a second mask and the first photoresist layer is achieved by assembly of the second mask onto the first photoresist layer using alignment posts placed in the first alignment holes in the first photoresist layer that penetrate into the mask alignment holes in the mask photoresist layers.
    Type: Grant
    Filed: November 27, 1996
    Date of Patent: February 2, 1999
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Henry Guckel, Harald Emmerich, Jonathan L. Klein
  • Patent number: 5866302
    Abstract: A BPSG film is formed on a semiconductor substrate and caused to reflow under an atmosphere of flowing Ar gas. Then, a chemically amplified resist is applied to the surface of the BPSG film to form a resist film, which is exposed to the irradiation of a KrF excimer laser through a mask. Since no lone pair of electrons exists on the surface of the BPSG film, an acid in the resist film is not deactivated and hence a reaction is evenly induced by an acid catalyst. After the development of the resist film, a resist pattern having an excellent profile with no footing is obtained.
    Type: Grant
    Filed: July 14, 1997
    Date of Patent: February 2, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Koji Matsuoka, Akiko Katsuyama, Takahiro Matsuo, Masayuki Endo
  • Patent number: 5866300
    Abstract: Before figure data are expanded into a bitmap, a checksum is calculated in unit of bitmap data corresponding to a cell stripe of scanning over which continuous exposure is possible. When the checksum is calculated after expanding the data into the bitmap, the interim calculation result of refocus values is used. In exposure, exposing k number of sub rectangular areas by repeating a sub scanning k number of times, jumping a deflection by a main deflector toward an center of remaining sub rectangular areas whose number is (p-k) inside a main rectangular areas and exposing remained (p-k) number of the sub rectangular areas by repeating the sub scanning (p-k) times after the jumping is settled. In an amplifier & low pass filter for supplying a drive voltage to a sub deflector, the cutoff frequency is lowed during flyback in a sawtooth waveform without changing an amplification factor.
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: February 2, 1999
    Assignee: Fujitsu Limited
    Inventors: Takamasa Satoh, Soichiro Arai, Kenichi Miyazawa, Yoshihisa Ooaeh, Junichi Kai, Hiroshi Yasuda