Processing Feature Prior To Imaging Patents (Class 430/327)
  • Publication number: 20020127499
    Abstract: A mold body included in a mold of the invention has a pressing face. A surface treated layer including a compound represented by a general formula, CF3(CZ)nSiXaY3-a, wherein n is an integer of 8 or more; a is 1, 2 or 3; Z are the same or different and selected from the group consisting of a hydrogen atom, a halogen atom, a substituted or non-substituted saturated or unsaturated alkyl group and a substituted or non-substituted aromatic group; X is a halogen atom; and Y is a hydrogen atom or a saturated alkyl group, is formed at least on the pressing face of the mold body.
    Type: Application
    Filed: January 31, 2002
    Publication date: September 12, 2002
    Inventors: Masayuki Endo, Masaru Sasago, Norihisa Mino, Kazufumi Ogawa, Yoshihiko Hirai
  • Publication number: 20020123011
    Abstract: A heating apparatus for a substrate to be processed with a coating film has a chamber with an inner space, a heating plate heating the substrate to be processed in the inner space, and a partition member. The heating plate has a support surface which supports the substrate to be processed within the chamber. The partition member is arranged in the chamber so as to face the support surface. The partition member partitions the inner space into first and second spaces, and has a plurality of pores which allow the first and second spaces to communicate with each other. The support surface of the heating plate is set in the first space. An air stream formation mechanism forming an air stream is arranged in the second space. This mechanism discharges a substance evaporated from the photoresist film.
    Type: Application
    Filed: December 26, 2001
    Publication date: September 5, 2002
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Kenji Kawano, Shinichi Ito, Eishi Shiobara, Daisuke Kawamura, Kei Hayasaki
  • Publication number: 20020119399
    Abstract: The invention relates to methods of manufacturing a printing screen that is operable for use in a rotary screen printing process, wherein a metallic sheet having a generally cylindrical shape is positioned adjacent a laser. The metallic sheet is rotated about its longitudinal axis, and the laser is moved along a path parallel to the longitudinal axis. The laser directs focused radiation to the metallic sheet such that holes are formed therethrough. Portions of the metallic sheet are vaporized, which leaves the metallic sheet substantially free of slag. In this regard, the metallic sheet can be formed from a single layer having at least one exposed surface, such that the focused radiation contacts only the metallic sheet.
    Type: Application
    Filed: February 26, 2001
    Publication date: August 29, 2002
    Inventor: Jesse E. Leskanic
  • Publication number: 20020110760
    Abstract: A method for improving hydrophilic character of photoresist, comprises following steps: provide a substrate which is placed inside a chamber; form a photoresist on the substrate; transmit a gas into the chamber, where the gas contains the water vapor; perform a thermal treatment process in which the photoresist being covered by the gas; and perform a pattern transfer process such that a pattern on a mask is transferred into the photoresist which has been treated by the thermal treatment process.
    Type: Application
    Filed: February 9, 2001
    Publication date: August 15, 2002
    Applicant: Macronix International Co., Ltd.
    Inventor: Francis Lin
  • Patent number: 6432620
    Abstract: There is provided an edge rinse apparatus and an edge rinse method in which a swelling of an end portion of a resist produced by an edge rinse is eliminated. The resist coated on an unnecessary portion is exposed and is developed by using the edge rinse apparatus including a substrate chuck for sucking and holding a substrate, a motor for rotating the substrate chuck, a nozzle for discharging a developing solution to a principal surface of the substrate, a nozzle for discharging the developing solution to a rear surface of the substrate, and an exposure means with the substrate chuck as a mask.
    Type: Grant
    Filed: August 16, 2000
    Date of Patent: August 13, 2002
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tatsuya Arao
  • Publication number: 20020102501
    Abstract: A photosensitive resin composition and a method of forming a positive resist pattern by the use of the composition are disclosed. The photosensitive resin composition comprises (A) a resinous compound containing an acid-decomposing ester group, (B) a compound containing one ethylenically unsaturated bond in its molecule and possessing a group capable of forming a carboxylic acid via decomposition by the action of an acid, (C) a photo-acid generator, (D) a photo-radical polymerization initiator, and optionally (E) an epoxy resin.
    Type: Application
    Filed: January 14, 2002
    Publication date: August 1, 2002
    Inventors: Miyako Ichikawa, Masaki Sasaki, Teruo Saito
  • Patent number: 6423465
    Abstract: A method is disclosed for preparing a patterned continuous polymeric brush on a substrate surface. The method generally involves functionalization of the substrate surface followed by surface-initiated polymerization at the initiation sites so provided, e.g., using a living free radical polymerization technique or the like, whereby a covalently bound continuous polymeric brush results, with acid-labile groups present throughout. An acid is then applied to the continuous polymer brush in a predetermined pattern, under conditions that result in removal of the acid-labile groups in at least one first region of the continuous polymer brush but not in at least one second region of the continuous polymer brush. In a preferred embodiment, the acid is a photogenerated acid resulting from imagewise irradiation of a photoacid generator applied as a film or coating to the surface-bound polymer brush.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: July 23, 2002
    Assignees: International Business Machines Corporation, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Craig Jon Hawker, James Lupton Hedrick, William Dinan Hinsberg, III, Marc Husemann, Michael Morrison
  • Patent number: 6420101
    Abstract: In the exposure and development of available deep ultraviolet (DUV) sensitive photoresist it has been observed that following the standard prior art methods of exposure and development results in a high density of undesirable pieces of components of the photoresist material, Blob Defects, remaining on the semiconductor substrate (body). A method of exposing and developing the photoresist material which results in a reduced incidence of these Blob Defects consists of introducing a low level uniform flood exposure of light in addition to the commonly used exposure to patterned light, followed by standard development. The flood exposure is in the range of 5 to 50% of the dose-to-clear for a non-patterned exposure.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: July 16, 2002
    Assignees: Infineon Technologies A G, International Business Machines Corporation
    Inventors: Zhijian Lu, Alan Thomas, Alois Gutmann, Kuang Jung Chen, Margaret C. Lawson
  • Publication number: 20020081534
    Abstract: A method of reworking a photoresist layer. A silicon chip having an insulation layer, a bottom anti-reflection coating and a photoresist layer thereon is provided. The photoresist layer has already been light-exposed and developed. A wet etching operation is carried out to remove a large portion of the photoresist layer. A low-temperature plasma treatment incapable of transforming the anti-reflection coating structure is conducted to remove the hardened residual photoresist material. A new photoresist layer is formed over the bottom anti-reflection coating.
    Type: Application
    Filed: December 22, 2000
    Publication date: June 27, 2002
    Inventor: Chia-Chieh Yu
  • Patent number: 6410194
    Abstract: When a resist film is formed by discharging a resist solution onto the front face of a wafer housed in a cup, a relation between the film thickness of a resist film and the line width of a circuit pattern when the resist film is exposed into a predetermined pattern and thereafter developed is obtained in advance, from that relation, a line width with less variations corresponding to the changes in film thickness of the resist film is selected from among line widths within a designated region to form a resist film to have the film thickness corresponding to the selected line width. Accordingly, the line width of the circuit pattern after development is not likely to vary regardless of the changes in film thickness of the resist film formed on the wafer.
    Type: Grant
    Filed: February 3, 2000
    Date of Patent: June 25, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Kosuke Yoshihara
  • Patent number: 6403287
    Abstract: The present invention relates to a process for forming a photoresist pattern which improves its resistance to the harmful effects of post exposure delay. More specifically, it relates to an improved process for forming a photoresist pattern comprising the steps of (a) coating a photoresist composition on a wafer, (b) exposing the coated wafer to patterned light by employing an exposer, and (c) developing the exposed wafer, wherein the improvement comprises raising the temperature of the photoresist to above room temperature when it is coated on the wafer.
    Type: Grant
    Filed: March 10, 2000
    Date of Patent: June 11, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jae Chang Jung, Jin Soo Kim, Hyoung Gi Kim
  • Patent number: 6395458
    Abstract: The problem of copper corrosion that occurs in the presence of strong alkaline developing solutions during photo rework has been overcome by protecting all exposed copper bearing surfaces from attack. Two ways of achieving this are described. In the first method, benzotriazole (BTA) is added to the developing solution which is then used in the normal way, developing time being unaffected by this modification. In the second method, the surface that is to receive the photoresist is first given a dip in a solution of BTA, following which the photoresist is immediately applied and processing, including proceeds as normal. For both methods the result is the elimination of all copper corrosion during development.
    Type: Grant
    Filed: January 8, 2001
    Date of Patent: May 28, 2002
    Assignee: Headway Technologies, Inc.
    Inventors: Xuehua Wu, Yi-Chun Liu, Jei-Wei Chang, Kochan Ju
  • Patent number: 6391515
    Abstract: This invention discloses a manufacturing process for preparing sol-gel optical waveguides comprising the steps of solution preparation, an optical waveguide photoresist module process, and optical waveguide molding and sintering. The solution is prepared by mixing water and alcohol to form an alcoholic solution with a properly adjusted pH value followed by mingling with tetraethylorthosilicate (TEOS) at room temperature. The optical waveguide photoresist module process comprises the steps of soft baking, exposure, development, washing by deionized water, drying by a nitrogen gun, and hard baking. The optical waveguide molding and sintering comprises the steps of spinning, sintering, and photoresist module removal.
    Type: Grant
    Filed: May 15, 2000
    Date of Patent: May 21, 2002
    Assignees: Industrial Technology Research Institute, National Tsing Hua University
    Inventors: Jung-Chieh Su, Chien-Kang Kao, I-Nan Lin, Chuen-Horng Tsai, Cheng-Chung Chi, Yung-Sheng Liu
  • Patent number: 6387602
    Abstract: A reticle cleaning apparatus that utilizes an ultraviolet light source in an oxygen-containing environment to cleanse organic contaminants from a reticle. The reticle cleaning apparatus of the present invention enables the storage of multiple reticles for use in a lithography tool in an environment which contains organic contaminants. A stored reticle is translated to a reticle cleaning station within the lithography tool in order to cleanse the reticle of organic contaminants. This cleaning can be performed while the projection optics of the tool exposes a wafer using another reticle previously cleaned by the reticle cleaning apparatus. Upon completion of the reticle cleaning process, the reticle is immediately translated to the exposure path of the lithography tool. The reticle cleaning process is performed during normal operation of the lithography tool at room temperature, atmospheric pressure and in an oxygen-containing environment.
    Type: Grant
    Filed: February 15, 2000
    Date of Patent: May 14, 2002
    Assignee: Silicon Valley Group, Inc.
    Inventors: Cindy J. Hayden, David H. Peterson
  • Patent number: 6383692
    Abstract: A material is disclosed which is suitable for making a flexographic printing plate and comprises in the order given a base, a photopolymer layer and a photothermographic or thermographic recording layer which contains an organic silver salt. By image-wise exposing the recording layer, a photomask is obtained through which the photopolymer layer can be subsequently exposed. Using a suitable solvent, the (photo)thermographic recording layer can be removed and the unexposed areas of the photopolymer layer can be washed out simultaneously in a single development step. The relief image thus obtained can be used as a print master for flexographic printing.
    Type: Grant
    Filed: July 13, 2000
    Date of Patent: May 7, 2002
    Assignee: Agfa-Gevaert
    Inventors: Luc Leenders, Eddie Daems, Ronn Andriessen
  • Patent number: 6383723
    Abstract: A method for reducing defects in the profiles of chemically amplified photoresists used in deep ultraviolet (DUV) and laser lithography. Chemically amplified resists are typically highly sensitive to nitrogen-bearing surface contaminants, and photoresist layers formed on contaminated surfaces exhibit profile defects such as resist footing and T-topping. These defects are reduced by pretreating the surface of a semiconductor device or other structure with a cleansing etchant prior to the formation of the photoresist layer. The cleansing etchant is a solution of sulfuric acid and an oxidizing agent known as “piranha.
    Type: Grant
    Filed: August 28, 1998
    Date of Patent: May 7, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Ardavan Niroomand
  • Patent number: 6372408
    Abstract: In the exposure and development of available deep ultraviolet (DUV) sensitive photoresist it has been observed that following the standard prior art methods of exposure and development results in a high density of undesirable remnants (denoted as Blob Defects) of various components of photoresist material remaining on the semiconductor substrate (body). A method of exposing and developing the photoresist material which results in a reduced incidence of these Blob Defects consists of using a Puddle Development technique to develop the photoresist material, and subsequently exposing the semiconductor wafer to at least one Puddle Rinse cycle which uses water.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: April 16, 2002
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Zhijian Lu, Alan Thomas, Alois Gutmann, Kuang Jung Chen, Margaret C. Lawson
  • Patent number: 6372414
    Abstract: The present invention relates to a process for providing a pattern on a substrate for use in a metal lift-off process, the process comprising: 1) coating a substrate with a liquid positive photoresist; 2) soft baking the coated substrate; 3) contacting the substrate with an aqueous alkaline developer containing from about 0.005 volume percent to about 0.05 volume percent of an alkylene glycol alkyl ether; 4) placing a patterned mask over the substrate; 5) exposing the substrate through the mask; 6) post exposure baking the substrate; 7) optionally, flood exposing the substrate; and 8) developing the substrate with an aqueous alkaline developer. The invention also relates to a novel developer solution of an ammonium hydroxide containing from about 0.005 volume percent to about 0.5 volume percent of an alkylene glycol alkyl ether and to a process for producing such a novel developer solution.
    Type: Grant
    Filed: March 12, 1999
    Date of Patent: April 16, 2002
    Assignee: Clariant Finance (BVI) Limited
    Inventors: Randy D. Redd, Ralph R. Dammel, John P. Sagan, Mark A. Spak
  • Publication number: 20020042028
    Abstract: A polymethylglutarinide layer is formed on a given base maaterial, and then, the surface of the polymethylglutarinide layer is washed with an organic solvent. Then, a photoresist layer is formed on the polymethylglutarinide layer, and exposed and developed. The remaining polymethylglutarinide layer is partially removed, to form a resist pattern having a T-shaped longitudinal cross section which is constructed of a main body having a substantially rectangular longitudinal cross section and a supplemental body to support the main body on a given base material and having a substantially rectangular longitudinal cross section narrowed as compared with the longitudinal cross section of the main body.
    Type: Application
    Filed: September 28, 2001
    Publication date: April 11, 2002
    Applicant: TDK CORPORATION
    Inventor: Akifumi Kamijima
  • Patent number: 6367381
    Abstract: The present invention provides a method of making a laser imaged printing plate. First, a solid, uncured printing plate is modified with both a UV absorber and an IR absorber. This is most conveniently done by constructing a multilayer slip film comprising at least two layers wherein at least one layer comprises a strong UV absorber, and wherein at least one other layer comprises an IR absorber having high absorptivity. The multilayer slip film is already adapted for use with a printing plate and is applied in the usual fashion to the surface of the uncured printing plate. The printing plate with the multilayer slip film can be stored for a time, or used immediately, as the printer's needs dictate. In use, the multilayer slip film is ablated from the photopolymer using an IR laser operating at a selected wavelength to create an in situ negative. The resulting negative can be subjected to typical UV flood exposure and development.
    Type: Grant
    Filed: February 22, 2000
    Date of Patent: April 9, 2002
    Assignee: Polyfibron Technologies, Inc.
    Inventor: Rustom Sam Kanga
  • Patent number: 6368776
    Abstract: A gas supplied from a gas source is exposed to an atmosphere above a liquid surface in a tank saving the liquid and thereafter is supplied around a wafer in a treatment chamber through a gas supply passage and a supply port. The gas supplied around the wafer uniformly flows from around the wafer toward above the center of the wafer and thereafter is discharged from an exhaust port which is formed at the top of the treatment chamber. Meanwhile, with respect to the wafer, heat treatment is performed by a heating mechanism and a predetermined PEB is carried out. The humidified gas is supplied into the treatment chamber, thereby preventing drying in the treatment chamber. Therefore, water in resist is not taken out, resulting in that a required resist pattern can be formed on the wafer.
    Type: Grant
    Filed: March 17, 1999
    Date of Patent: April 9, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Koji Harada, Junichi Nagata, Yasunori Kawakami, Masatoshi Kaneda, Norio Semba, Yoshio Kimura, Masami Akimoto, Yasuhiro Sakamoto, Nobuyuki Jinnai
  • Patent number: 6350559
    Abstract: In one embodiment, the present invention relates to a method of forming a thin photoresist layer having a low defect density, involving the steps of depositing a photoresist layer having a thickness from greater than about 0.5 &mgr;m to about 2 &mgr;m on a semiconductor substrate; and removing at least a portion of the photoresist layer to provide the thin photoresist layer having the low defect density and a thickness from about 0.1 &mgr;m to about 0.5 &mgr;m. In another embodiment, the present invention relates to a method of reducing pinhole defects in a thin photoresist layer having a thickness below about 0.5 &mgr;m comprising a photoresist material, involving the steps of depositing a layer of the photoresist material having a thickness greater than about 0.5 &mgr;m; and etching at least a portion of the photoresist material to provide the thin photoresist layer having the thickness below about 0.
    Type: Grant
    Filed: September 17, 1999
    Date of Patent: February 26, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael K. Templeton, Kathleen R. Early, Christopher F. Lyons
  • Patent number: 6348300
    Abstract: A process for treating the periphery of an unexposed photosensitive resin plate, which comprises irradiation of light having a wavelength of not longer than 300 nm on the periphery of the unexposed photosensitive resin plate until the periphery is free of stickiness. The process of the present invention solves problems such as sticking of the peripheral resin of unexposed plates to packages, sticking of unexposed resin to a working table, exposure table or vacuum adhesion sheet, sticking of dust, and so on on the periphery of unexposed plates, and sticking of unexposed resin to hands when handling, while eliminating all defects in handling during the steps of making printing plates.
    Type: Grant
    Filed: June 29, 2000
    Date of Patent: February 19, 2002
    Assignee: Toyo Boseki Kabushiki Kaisha
    Inventors: Katsumasa Yamamoto, Takamitsu Ariki, Kosaku Onodera, Masaru Nampei
  • Publication number: 20020018966
    Abstract: Disclosed is a method for producing liquid crystal display devices comprising a step for forming a transparent electrode and a circuit element of semiconductor on the surface of a pair of transparent substrates, and various steps for applying a photoresist, exposing to the light, etching, releasing the photoresist, inspecting the electrodes and circuit elements of semiconductor, forming an insulating film, forming an alignment film, rubbing the alignment film, spreading spacers, applying a sealing agent, fabricating a cell, filling a liquid crystal, pasting a polarizing plate, and connecting a driver IC characterized in that a soft X-ray is irradiated to the substrate in at least one step prior to the rubbing of the alignment films to produce liquid crystal display devices, at a high yield, in which devices number of pixel defect is small.
    Type: Application
    Filed: September 28, 2001
    Publication date: February 14, 2002
    Inventors: Satoshi Tanioka, Shizuo Murata, Makoto Kono, Masayuki Hirano
  • Publication number: 20020015917
    Abstract: The present invention relates to a compound for preventing the acid generated during the exposure step of a photolithography process in the exposed areas, from being diffused to the unexposed areas, and a process for forming an ultra-micro pattern using the same.
    Type: Application
    Filed: June 13, 2001
    Publication date: February 7, 2002
    Inventors: Geun Su Lee, Jae Chang Jung, Min Ho Jung, Cheol Kyu Bok, Ki Ho Baik
  • Publication number: 20020015912
    Abstract: Photoresist monomers which can be used to form photoresist polymers and photoresist compositions using the same which are suitable for photolithography processes employing a deep ultraviolet light source and copolymers thereof.
    Type: Application
    Filed: June 15, 2001
    Publication date: February 7, 2002
    Inventors: Chi Hyeong Roh, Seung Hyuk Lee, Chan Seob Cho
  • Patent number: 6342321
    Abstract: A method of drying a resinous composition layer, comprises the steps of coating a resinous composition over a substrate and drying the resinous composition layer to be dried. The drying method is a vacuum dry. And a drying condition in that case is such that an exhaustion is performed taking over 6 sec. till a degree of vacuum comes to 100 Torr.
    Type: Grant
    Filed: December 21, 1999
    Date of Patent: January 29, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Junichi Sakamoto, Nagato Osano, Tadanori Suto, Kenichi Iwata
  • Publication number: 20020009673
    Abstract: Laser-imageable flexographic printing plates and a method of making same are disclosed. A thin polymeric film doped with a UV absorber is laminated to a photopolymer layer. The film is ablated from the photopolymer using a laser operating at a selected wavelength to create an in situ negative. The resulting negative can be subjected to typical UV flood exposure and development.
    Type: Application
    Filed: July 3, 2001
    Publication date: January 24, 2002
    Applicant: Polyfibron Technologies, Inc.
    Inventors: Michael Wen-Chein Yang, Rustom Sam Kanga, Alvin Varnard Randall
  • Patent number: 6338776
    Abstract: The present invention is directed to allowing a work piece to stabilize in regard to temperature and humidity/water content prior to precision operations so as to minimize any problems resulting from dimensional changes.
    Type: Grant
    Filed: February 24, 2000
    Date of Patent: January 15, 2002
    Assignee: Honeywell International Inc.
    Inventor: Richard J. Pommer
  • Patent number: 6338921
    Abstract: A mask (50′) with linewidth compensation and a method of making same. The mask provides for optimized imaging of isolated patterns (64) and nested patterns (70) present on the same mask. The compensated mask is formed from an uncompensated mask (50) and comprises an upper surface (56) upon which the isolated and nested patterns are formed. The isolated pattern comprises a first segment (76) having first sidewalls (76S). The nested pattern comprises second segments (72) proximate each other and having second sidewalls (72S). A partial conformal layer (86) covers the first segment and has feet (90) outwardly extending a distance d from the first sidewalls along the upper surface. The feet are preferably of a thickness that partially transmits exposure light.
    Type: Grant
    Filed: January 7, 2000
    Date of Patent: January 15, 2002
    Assignee: International Business Machines Corporation
    Inventors: James A. Bruce, David V. Horak, Randy W. Mann, Jed H. Rankin, Andrew J. Watts
  • Publication number: 20020004178
    Abstract: An ester compound of the following formula (1) is provided.
    Type: Application
    Filed: April 19, 2001
    Publication date: January 10, 2002
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Koji Hasegawa, Tsunehiro Nishi, Takeshi Kinsho, Takeru Watanabe, Matsuo Nakashima, Seiichiro Tachibana, Jun Hatakeyama
  • Publication number: 20020004182
    Abstract: Method of fabricating microstructures on a substrate. The method comprises providing a substrate layer having a first surface with a resist layer. First selected regions of the resist layer are exposed to an environment that renders the resist layer more or less soluble in a developer solution. The resist layer is then developed in the developer solution to expose selected regions of the substrate surface. Second selected regions of the resist layer are then exposed to an environment that renders the resist layer more or less soluble in the developer solution by aligning exposure of the second selected regions to the first selected regions. The first selected regions of the substrate surface are etched.
    Type: Application
    Filed: May 1, 2001
    Publication date: January 10, 2002
    Inventor: Richard J. McReynolds
  • Publication number: 20020001772
    Abstract: A hydrogenated product of a ring-opening metathesis polymer comprising structural units as shown below has improved heat resistance, pyrolysis resistance and light transmission and is suited as a photoresist for semiconductor microfabrication using UV or deep-UV. A resist composition comprising the polymer as a base resin is sensitive to high-energy radiation and has excellent sensitivity, resolution, and etching resistance.
    Type: Application
    Filed: April 12, 2001
    Publication date: January 3, 2002
    Applicant: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsunehiro Nishi, Takeshi Kinsho, Shigehiro Nagura, Tomohiro Kobayashi, Satoshi Watanabe
  • Publication number: 20010049070
    Abstract: A substrate coated with a coating solution, for example, a resist solution is heated at a predetermined temperature, thereafter putted in a non-heated state, and then heated at a second predetermined temperature. Alternatively, a heating process in which a substrate coated with a resist solution is heated and a non-heated process in which the substrate is putted in a non-heated state are repeated a plurality of times. The adoption of the above treating methods can prevent the occurrence of transfer which is an index of ununiformity of film thickness of a resist solution and the like and change in line width of a circuit pattern, thus improving yield in substrate treatment.
    Type: Application
    Filed: August 4, 1999
    Publication date: December 6, 2001
    Inventors: HIDEYUKI TAKAMORI, KIYOHISA TATEYAMA, KENGO MIZOSAKI, NORIYUKI ANAI, YOSHITAKA MATSUDA
  • Patent number: 6322956
    Abstract: Disclosed is a method for producing liquid crystal display devices comprising a step for forming a transparent electrode and a circuit element of semiconductor on the surface of a pair of transparent substrates, and various steps for applying a photoresist, exposing to the light, etching, releasing the photoresist, inspecting the electrodes and circuit elements of semiconductor, forming an insulating film, forming an alignment film, rubbing the alignment film, spreading spacers, applying a sealing agent, fabricating a cell, filling a liquid crystal, pasting a polarizing plate, and connecting a driver IC characterized in that a soft X-ray is irradiated to the substrate in at least one step prior to the rubbing of the alignment films to produce liquid crystal display devices, at a high yield, in which devices number of pixel defect is small.
    Type: Grant
    Filed: April 20, 1999
    Date of Patent: November 27, 2001
    Assignees: Chisso Corporation, Iinoma Gauge Manufacturing Company Limited, Hamamatsu Photonics Kabushiki Kaisha
    Inventors: Satoshi Tanioka, Shizuo Murata, Makoto Kono, Masayuki Hirano
  • Publication number: 20010044071
    Abstract: An ester compound of the following formula (1) is provided.
    Type: Application
    Filed: April 19, 2001
    Publication date: November 22, 2001
    Applicant: Shin-Etsu Chemical Co., Ltd
    Inventors: Koji Hasegawa, Tsunehiro Nishi, Takeshi Kinsho, Takeru Watanabe, Mutsuo Nakashima, Seiichiro Tachibana, Jun Hatakeyama
  • Patent number: 6300043
    Abstract: A method of forming a resist film comprises (a) forming a resist film on a substrate, and (b) removing a surface region of the resist film formed in the step (a) so as to decrease the thickness of the resist film.
    Type: Grant
    Filed: November 30, 1998
    Date of Patent: October 9, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Nobuo Konishi, Keizo Hirose
  • Patent number: 6294316
    Abstract: An object of the present invention is to provide a simple process for manufacturing a flexible printed wiring board having fine metal bumps. A resin coating 21 and a resist film 24 are formed in this order on the surface of a metal film 11 and on the surface of each metal bump 16 formed on the metal film 11, and a pressure is applied on the surface to depress the resist film 24 on the metal bump 16, followed by etching. As the surface of the resin coating 21 is partially exposed at the depressed portion of the resist film 24, etching of the resin coating 21 proceeds from that portion to bulge the surface of the metal bump 16 from the resin coating 21.
    Type: Grant
    Filed: January 5, 2000
    Date of Patent: September 25, 2001
    Assignee: Sony Chemicals Corp.
    Inventors: Yutaka Kaneda, Akira Tsutsumi, Hiroyuki Hishinuma
  • Patent number: 6291145
    Abstract: Image-formation methods and photosensitive materials used in such methods are disclosed that form very high-resolution patterns. The photosensitive materials comprise an ingredient that is triggered by a radical to undergo a latent-image-forming reaction. The radicals are produced by photons from an illumination light. Alternatively, the ingredient is triggered by a reactive-intermediate compound that is activated by the exposure-produced radical. The photosensitive materials possess a non-linear sensitivity characteristic in which the latent-image reaction density increases according to the mth power (m>1) of the incident light intensity. The photosensitive material can be applied to a sensitive substrate for exposure. Multiple exposures of the sensitive substrate are performed using a projected mask pattern in the presence of a radical deactivator.
    Type: Grant
    Filed: March 9, 1998
    Date of Patent: September 18, 2001
    Assignee: Nikon Corporation
    Inventors: Tadayosi Kokubo, Kazuya Okamoto, Hiroshi Ooki, Masato Shibuya, Soichi Owa
  • Publication number: 20010021486
    Abstract: A closed container composed of a lid body and a lower container are provided in a cover body, and a supply pipe for nitrogen gas is connected to the cover body. A light source unit including UV lamps in the lid body is provided to face a mounting table in the closed container, and a gas supply path for HMDS gas is provided on the outer side from the light source unit. The inside of the cover body is first brought to a nitrogen atmosphere, a wafer is irradiated with ultraviolet rays with the lid body of the closed container opened to perform cleaning. Subsequently, the lid body is closed and the HMDS gas is introduced into the closed container to perform hydrophobic processing for the wafer. This removes deposits such as organic substances adhering to the wafer W through the irradiation with the ultraviolet rays, thereby improving coating properties of a resist solution.
    Type: Application
    Filed: March 7, 2001
    Publication date: September 13, 2001
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Junichi Kitano
  • Patent number: 6287735
    Abstract: A method and apparatus for controlling the leveling table of a wafer stage is described. More generally, the invention includes control circuitry for controlling motion of a stage, where the stage is adapted to support a workpiece. The control circuitry measures position in a vicinity of the workpiece. Based upon the measured position, the control circuitry drives the stage toward a target position while accounting for nonlinear dynamics of the stage. The nonlinear dynamics may include inertia, in which case the control circuitry adaptively estimates the inertia of the stage. The nonlinear dynamics may also include tilt due to acceleration or deceleration of the stage, in which case the circuitry adaptively estimates the tilt of the stage. The stage may generally travel in a plane, and the circuitry measures position in a direction orthogonal to the plane. The circuitry may measure the position of the workpiece itself, or the position of an upper surface of the stage.
    Type: Grant
    Filed: September 16, 1998
    Date of Patent: September 11, 2001
    Assignee: Nikon Corporation
    Inventor: Bausan Yuan
  • Patent number: 6277546
    Abstract: The present invention relates to an improved lithographic imaging process for use in the manufacture of integrated circuits. The process provides protection to the photoresist film from airborne chemical contaminants.
    Type: Grant
    Filed: November 28, 1994
    Date of Patent: August 21, 2001
    Assignee: International Business Machines Corporation
    Inventors: Gregory Breyta, Nicholas Jeffries Clecak, William Dinan Hinsberg, III, Donald Clifford Hofer, Hiroshi Ito, Scott Arthur MacDonald, Ratnam Sooriyakumaran
  • Patent number: 6274289
    Abstract: In one embodiment, the present invention relates to a method of treating a resist layer involving the steps of providing the resist layer having a first thickness, the resist layer comprising a polymer having a labile group; contacting a coating containing at least one cleaving compound with the resist layer to form a deprotected resist layer at an interface between the resist layer and the coating; and removing the coating and the deprotected resist layer leaving a resist having a second thickness, wherein the second thickness is smaller than the first thickness.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: August 14, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Michael K. Templeton, Bharath Rangarajan, Ursula Q. Quinto
  • Patent number: 6268108
    Abstract: The present invention provides a composition for forming an antireflective coating film which is not liable to intermixing between the resist composition layer and the antireflective coating layer and a method for forming a resist pattern having an excellent dimensional accuracy and section shape. The composition consists of (A) a compound which produces an acid upon irradiation with actinic rays, (B) a compound which undergoes crosslinking reaction in the presence of an acid, (C) a dye and (D) an organic solvent.
    Type: Grant
    Filed: July 16, 1998
    Date of Patent: July 31, 2001
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Etsuko Iguchi, Masakazu Kobayashi, Hiroshi Komano, Toshimasa Nakayama
  • Publication number: 20010009749
    Abstract: A chemically amplified resist including base resin represented by a general formula [1], a radiation-sensitive acid generator; polystyrene acting as a filler and a solvent dissolving the resin, the acid generator and the filler; wherein a content of the resin is between 10 and 20% in weight with respect to the entire chemically amplified resist, contents of the acid generator and the filler are between 1 and 15% in weight and between 0.6 and 6.0% in weight, respectively, with respect to the resin, and a balance is the solvent. The chemically amplified resist having the excellent controllability with respect to dimensions and shapes can be obtained because a free volume of the chemically amplified resist is reduced by filling a free volume in the chemically amplified resist with the filler.
    Type: Application
    Filed: December 26, 2000
    Publication date: July 26, 2001
    Applicant: NEC CORPORATION
    Inventor: Mitsuharu Yamana
  • Patent number: 6261744
    Abstract: The baking apparatus of the present invention comprises a casing surrounding a substrate W having a pattern-exposed resist film, a hot plate for heating the substrate in the casing, gas supply mechanisms for supplying a H2O component containing humidity gas into the casing. The H2O component included in the humidity gas is allowed to react with the resist film by introducing the humidity gas into the casing while the substrate is being heated by the hot plate, thereby rendering either an irradiate portion or a non-irradiate portion of the resist film, soluble in alkali.
    Type: Grant
    Filed: August 10, 1999
    Date of Patent: July 17, 2001
    Assignee: Tokyo Electron Limited
    Inventor: Kazutoshi Yoshioka
  • Publication number: 20010006761
    Abstract: A method for planarizing a layer of photoresist on a substrate. The layer of photoresist is exposed to wavelengths of radiation that the photoresist is sensitive to. The radiation is directed at the layer of photoresist at an oblique angle with respect to a major dimension of the layer of photoresist. The photoresist is developed.
    Type: Application
    Filed: September 28, 1998
    Publication date: July 5, 2001
    Inventors: JOHN GOLZ, CHORNG-LII HWANG, JOHN ZHU
  • Patent number: 6251570
    Abstract: In one embodiment, the present invention relates to a method of processing a semiconductor structure including a resist thereon, involving the steps of exposing the semiconductor structure including the resist to acting radiation; contacting the semiconductor structure including the exposed resist with a solution comprising water and from about 0.01% to about 5% by weight of a surfactant; and developing the resist with a developer.
    Type: Grant
    Filed: June 27, 2000
    Date of Patent: June 26, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Khoi A. Phan, Ramkumar Subramanian, Bharath Rangarajan, Bhanwar Singh
  • Patent number: 6245493
    Abstract: A method for creating a roughened surface on a material exposed to light during a photolithographic process is provided. The roughened surface is created on a surface of the material via a plasma etch process. The roughened surface diffuses light incident to the material such that the diffused light causes insubstantial damage to a photoresist subsequently formed on the material.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: June 12, 2001
    Inventors: Bhanwar Singh, Bharath Rangarajan, Sanjay K. Yedur, Michael K. Templeton, Christopher F. Lyons
  • Patent number: 6238848
    Abstract: When a resist film formed on a substrate is exposed in a predetermined pattern and thereafter an exposed pattern is developed, a substance capable of decreasing fluidity of the developing solution is added to the developing solution, the developing solution to which the substance is added is caused to become low-fluid under a predetermined condition, the developing solution is applied onto the exposed resist film on the substrate, and thereafter a predetermined trigger is given to the developing solution to cause the developing solution to become high-fluid so as to allow developing to progress. Thereby, line width can be made uniform and defects do not tend to occur during coating of the developing solution.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: May 29, 2001
    Assignee: Tokyo Electron Limited
    Inventors: Nobuo Konishi, Takayuki Toshima, Tsutae Omori