Processing Feature Prior To Imaging Patents (Class 430/327)
  • Patent number: 7727705
    Abstract: An etch resistant thermally curable Underlayer for use in a multiplayer liyhographic process to produce a photolithographic bilayer coated substrate, the composition having: (a) at least one cycloolefin polymer comprising at least one repeating unit of Structure (I), and at least one repeating unit of Structure (II), and optionally at least one repeating unit of Structure (III) with the proviso that neither Structure (I) nor Structure (II) nor Structure (III) contains acid sensitive groups. b) at least one cross-linking agent selected from the group consisting of an amino or phenolic cross-linking agent; c) a least one thermal acid generator (TAG); d) at lest one solvent; and e) optionally, at least one surfactant.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: June 1, 2010
    Assignee: Fujifilm Electronic Materials, U.S.A., Inc.
    Inventors: Binod B. De, Sanjay Malik, Raj Sakamuri, Chisun Hong
  • Patent number: 7713685
    Abstract: An exposure system includes a cleaning unit for cleaning a surface of a resist film formed on a wafer with a cleaning fluid and an exposure unit for performing pattern exposure with an immersion liquid provided between the resist film and a projection lens.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: May 11, 2010
    Assignee: Panasonic Corporation
    Inventors: Masayuki Endo, Masaru Sasago
  • Patent number: 7678537
    Abstract: A topcoat material for immersion lithography and a method of performing immersion lithography using the topcoat material. The topcoat material includes a mixture of a first polymer and a second polymer. The first and second polymers of the topcoat material, when the topcoat material is formed into a topcoat layer between an immersion fluid and a photoresist layer, disperse non-homogenously throughout the topcoat layer.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: March 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert D. Allen, Phillip Brock, Daniel P. Sanders, Linda K. Sundberg
  • Patent number: 7643126
    Abstract: In the present invention, in the photolithography process in which a certain focus condition has been already set, a film on a substrate is exposed to only zero-order light of a light source transmitted, and then developed to reduce a first portion of the film on the substrate. Further, the film on the substrate is exposed to zero-order light and higher order light of the light source transmitted, and then developed to reduce a second portion of the film on the substrate. Thereafter, the film thicknesses of the first portion and the second portion are measured, and the measured film thicknesses of the first portion and the second portion are converted into line widths of a resist pattern by previously obtained correlations between the film thicknesses and the line widths. The converted line width of the second portion is then subtracted from the converted line width of the first portion, whereby the line width depending only on the focus component is calculated.
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: January 5, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Michio Tanaka, Masahide Tadokoro
  • Patent number: 7632626
    Abstract: There is provided an anti-reflective coating forming composition for lithography comprising a polymer having an ethylenedicarbonyl structure and a solvent; an anti-reflective coating formed from the composition; and a method for forming photoresist pattern by use of the composition. The anti-reflective coating obtained from the composition can be used in lithography process for manufacturing a semiconductor device, has a high preventive effect for reflected light, causes no intermixing with photoresists, and has a higher etching rate than photoresists.
    Type: Grant
    Filed: April 13, 2006
    Date of Patent: December 15, 2009
    Assignee: Nissan Chemical Industries, Ltd.
    Inventor: Rikimaru Sakamoto
  • Patent number: 7611828
    Abstract: An adhesion promoter to help reduce semiconductor process effects, such as undesired line edge roughness, insufficient lithographical resolution, and limited depth of focus problems associated with the removal of a photoresist layer. A photoactive adhesion promoter (PAG) is described which helps reduce these and other undesired effects associated with the removal of photoresist in a semiconductor manufacturing process.
    Type: Grant
    Filed: November 24, 2008
    Date of Patent: November 3, 2009
    Inventor: Robert P. Meagley
  • Patent number: 7611825
    Abstract: A method comprises forming a BARC layer on a substrate, treating the BARC layer to make its surface hydrophilic, forming a photoresist layer on the treated BARC layer, exposing the photoresist layer to a predetermined pattern, and developing the photoresist layer to form patterned photoresist.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: November 3, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Kuei Shun Chen, Bang-Ching Ho
  • Patent number: 7608388
    Abstract: Lithographic printing plates are imaged using an inkjet printer to imagewise apply a chemical or masking agent onto the plate surface. In some embodiments, the chemical inhibits a photoreaction in the top layer.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: October 27, 2009
    Assignee: Presstek, Inc.
    Inventors: Albert S. Deutsch, Eugene L. Langlais
  • Patent number: 7604928
    Abstract: A patterning method forms a pattern including a lyophilic region and a lyophobic region. The method includes treating the surface of an object by exposing an atmosphere containing at least one gas selected from the group consisting of hydrogen, deuterium, deuterated hydrogen, and tritium; partially exposing the treated surface to light to form an exposed region and an unexposed region; and applying a liquid onto one of the exposed region and the unexposed region.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: October 20, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Osamu Iketa, Nobuo Kawase, Keishi Saito, Takeo Ono
  • Publication number: 20090258322
    Abstract: A wafer has a substrate and a photoresist layer thereon with a surface that is planarized by positioning over a starting surface of the photoresist layer a gray-scale mask having a pattern that correlates with a gradient height profile of unevenness present on the starting surface, patterning the photoresist layer using the gray-scale mask to produce the pattern thereof in the photoresist layer which, in effect, produces a profile of evenness in the photoresist layer underlying the gradient height profile of unevenness, and developing the patterned photoresist layer such that only a three-dimensional portion thereof corresponding to the gradient height profile of unevenness located above the profile of evenness is removed which, in effect, leaves behind a resulting surface on the photoresist layer made substantially more even and thus substantially in a planarized condition.
    Type: Application
    Filed: April 10, 2008
    Publication date: October 15, 2009
    Inventors: David Laurier Bernard, Paul William Dryer, Andrew Lee McNees
  • Patent number: 7595146
    Abstract: A method of creating a graded anti-reflective coating (ARC) layer on a thin film is described. The method includes forming the thin film on a substrate, forming an ARC layer on the thin film, and applying a solvent to the ARC layer causing it to swell. A photo-resist layer is formed on the swollen ARC layer. A mixing layer is formed by the diffusion of components from the swollen ARC layer to the photo-resist layer and vice versa. The mixing layer has optical qualities that are distinct from those of either of the ARC layer or the photo-resist layer. The mixing layer forms the graded ARC layer.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: September 29, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Mark H. Somervell
  • Patent number: 7563563
    Abstract: The present invention discloses an antireflective coating composition for applying between a substrate surface and a positive photoresist composition. The antireflective coating composition is developable in an aqueous alkaline developer. The antireflective coating composition comprises a polymer, which comprises at least one monomer unit containing one or more moieties selected from the group consisting of a lactone, maleimide, and an N-alkyl maleimide; and at least one monomer unit containing one or more absorbing moieties. The polymer does not comprise an acid labile group. The present invention also discloses a method of forming and transferring a relief image by using the inventive antireflective coating composition in photolithography.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: July 21, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kuang-Jung J. Chen, Mahmoud Khojasteh, Ranee Wai-Ling Kwong, Margaret C. Lawson, Wenjie Li, Kaushal S. Patel, Pushkara R. Varanasi
  • Patent number: 7550249
    Abstract: Base soluble polymer comprising at least one sulfonyl group where at least one carbon atom at ?-position and/or ?-position and/or ?-position with respect to the sulfonyl group has a hydroxyl group, where the hydroxyl group is protected or unprotected are described.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: June 23, 2009
    Assignee: AZ Electronic Materials USA Corp.
    Inventors: David Abdallah, Francis Houlihan
  • Patent number: 7547503
    Abstract: Provided is a photosensitive silane coupling agent for forming a low-defect microparticle pattern, dot array pattern, or hole array pattern with a smaller number of process steps, and a method of forming a pattern using such photosensitive silane coupling agent. Used is a photosensitive silane coupling agent having a secondary amino group protected by an o-nitrobenzyloxycarbonyl group.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: June 16, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshiki Ito, Natsuhiko Mizutani, Takako Yamaguchi, Yasuhisa Inao
  • Patent number: 7547561
    Abstract: An advanced process control (APC) architecture comprising a process model that incorporates a target offset term is provided. The APC architecture may be applied to a so-called develop inspect critical dimension (DICD) model using the target offset term to correct at least one exposure parameter on the occurrence of an abrupt event. A corresponding event may, for example, concern a modified reflectivity of processed substrates, for example due to a rework of substrates covered by amorphous carbon material.
    Type: Grant
    Filed: November 17, 2005
    Date of Patent: June 16, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Uwe Schulze, Martin Mazur, Andreas Becker
  • Publication number: 20090130592
    Abstract: New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that can be substantially non-mixable with a resin component of the resist. Further preferred photoresist compositions of the invention comprise 1) Si substitution, 2) fluorine substitution; 3) hyperbranched polymers; and/or 4) polymeric particles. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing.
    Type: Application
    Filed: January 12, 2009
    Publication date: May 21, 2009
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventor: Deyan Wang
  • Publication number: 20090130612
    Abstract: The invention is directed to a method for patterning a material layer. The method comprises steps of forming a first mask layer on the material layer and then patterning the first mask layer. The patterned first mask layer has a pattern therein and a plurality of gaps within the patterns and the gaps expose a portion of the material layer. Further, a second mask layer is formed over the material layer and the second mask layer fills the gaps. An interface layer is formed between the patterned first mask layer and the second mask layer. A portion of the second material layer is removed until the top surface of the interface layer is exposed. The interface layer is removed to expose a portion of the material layer and the material layer is patterned by using the patterned first mask layer and the second mask layer as a mask.
    Type: Application
    Filed: November 21, 2007
    Publication date: May 21, 2009
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chin-Cheng Yang
  • Publication number: 20090117360
    Abstract: A non-photosensitive polymeric resist containing at least two immiscible polymeric block components is deposited on the planar surface. The non-photosensitive polymeric resist is annealed to allow phase separation of immiscible components and developed to remove at least one of the at least two polymeric block components. Nanoscale features, i.e., features of nanometer scale, including at least one recessed region having a nanoscale dimension is formed in the polymeric resist. The top surface of the polymeric resist is modified for enhanced etch resistance by an exposure to an energetic beam, which allows the top surface of the patterned polymeric resist to become more resistant to etching processes and chemistries. The enhanced ratio of etch resistance between the two types of surfaces provides improved image contrast and fidelity between areas having the top surface and the at least one recessed region.
    Type: Application
    Filed: November 1, 2007
    Publication date: May 7, 2009
    Applicant: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Timothy J. Dalton, Habib Hichri, Louis L. Hsu, Kaushik A. Kumar, Carl Radens, Shahab Siddiqui, Chih-Chao Yang
  • Publication number: 20090104571
    Abstract: A method of selectively removing a sacrificial material on a substrate is described. The method comprises forming a sacrificial layer on a substrate. Thereafter, the sacrificial layer is selectively decomposed at a temperature less than the temperature required to thermally decompose the sacrificial layer by selectively exposing the sacrificial layer to UV radiation.
    Type: Application
    Filed: October 17, 2007
    Publication date: April 23, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Junjun LIU, Dorel I. TOMA
  • Publication number: 20090104559
    Abstract: Developable bottom antireflective coating compositions are provided.
    Type: Application
    Filed: October 23, 2007
    Publication date: April 23, 2009
    Inventors: Francis M. Houlihan, Shinjl Miyazaki, Mark O. Neisser, Alberto D. Dioses, Joseph E. Oberlander
  • Publication number: 20090098490
    Abstract: The present invention is directed to novel radiation-sensitive, wet developable bottom antireflective coating (DBARC) compositions and their use in semiconductor device manufacturing. The DBARC compositions contain a photoacid generator that produces a photoacid upon exposure to activating radiation. In a photolithographic imaging process, the relatively strong photoacid reduces or eliminates scumming. Further, the relatively large size of the photoacid limits its diffusion through the DBARC, thus minimizing or preventing undercut. The inventive method also limits diffusion of the photoacid by controlling the temperature of the post-exposure baking step. Use of the DBARC compositions with a photoresist in photolithography results in highly resolved features having essentially vertical profiles and no scumming and no undercut, which is critical as microelectronics and semiconductor components become increasingly miniaturized.
    Type: Application
    Filed: October 16, 2007
    Publication date: April 16, 2009
    Inventors: Victor Pham, Ramakrishnan Ayothi, Mark Slezak
  • Patent number: 7517620
    Abstract: A method for fabricating an array substrate having a color filter on a thin film transistor structure for a liquid crystal display device is disclosed in the present invention.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: April 14, 2009
    Assignee: LG Display Co., Ltd.
    Inventors: Woong-Kwon Kim, Youn-Gyoung Chang
  • Publication number: 20090081598
    Abstract: Linear or branched functionalized polycarbosilanes having an absorbance less than 3.0 ?m?1 at 193 nm and a relatively high refractive index are provided. The functionalized polycarbosilanes contain at least one pendant group that is acid labile or aqueous base soluble. Also disclosed are photoresists formulations containing the functionalized polycarbosilanes that are suitable for use in lithography, e.g., immersion lithography.
    Type: Application
    Filed: September 24, 2007
    Publication date: March 26, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robert D. Allen, Matthew E. Colburn, Daniel P. Sanders, Ratnam Sooriyakumaran, Hoa D. Truong
  • Publication number: 20090081589
    Abstract: Thick film photoresist compositions are disclosed.
    Type: Application
    Filed: September 25, 2007
    Publication date: March 26, 2009
    Inventors: Medhat A. Toukhy, Margareta Paunescu
  • Publication number: 20090081591
    Abstract: The method of patterning a photosensitive layer includes providing a substrate including a first layer formed thereon, treating the substrate including the first layer with cations, forming a first photosensitive layer over the first layer, patterning the first photosensitive layer to form a first pattern, treating the first pattern with cations, forming a second photosensitive layer over the treated first pattern, patterning the second photosensitive layer to form a second pattern, and processing the first layer using the first and second patterns as a mask.
    Type: Application
    Filed: September 25, 2007
    Publication date: March 26, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsiao-Tzu Lu, Keui Shun Chen, Tsiao-Chen Wu, Vencent Chang, George Liu
  • Patent number: 7507526
    Abstract: The present invention provides an aqueous regenerator for addition to an aqueous developer that contains an organic solvent, a dispersing agent and a weak base, and has a pH of between about 8 and less than about 13. The aqueous regenerator includes an organic solvent, dispersing agent, and an effective amount of a strong base such that the regenerator has a greater pH than the developer into which the regenerator is to be added.
    Type: Grant
    Filed: March 27, 2007
    Date of Patent: March 24, 2009
    Assignee: Eastman Kodak Company
    Inventors: Gary R. Miller, Kevin D. Wieland, Melanie Roth
  • Publication number: 20090075217
    Abstract: A method and apparatus for forming a tapered photoresist edge. The method includes: forming a photoresist layer on a substrate; exposing a first annular region of the photoresist layer adjacent to a perimeter of the substrate to actinic radiation, the first annular region having a first outer perimeter proximate to a perimeter of the substrate and a first inner perimeter away from the perimeter of the substrate, the actinic radiation gradually decreasing in intensity from the first outer perimeter to the first inner perimeter; and developing the exposed first annular region of the photoresist layer to form a tapered profile in a second annular region of the photoresist layer, the second annular region having a second perimeter proximate to the perimeter of the substrate and a second inner perimeter away from the substrate perimeter, the profile gradually increasing in thickness from the second outer perimeter to the second inner perimeter.
    Type: Application
    Filed: September 19, 2007
    Publication date: March 19, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Colin J. Brodsky
  • Patent number: 7501230
    Abstract: An adhesion promoter to help reduce semiconductor process effects, such as undesired line edge roughness, insufficient lithographical resolution, and limited depth of focus problems associated with the removal of a photoresist layer. A photoactive adhesion promoter (PAG) is described which helps reduce these and other undesired effects associated with the removal of photoresist in a semiconductor manufacturing process.
    Type: Grant
    Filed: November 4, 2002
    Date of Patent: March 10, 2009
    Inventor: Robert P. Meagley
  • Publication number: 20090053652
    Abstract: Photoresist compositions are disclosed.
    Type: Application
    Filed: August 24, 2007
    Publication date: February 26, 2009
    Inventors: Srinivasan Chakrapani, Munirathna Padmanaban, Muthiah Thiyagarajan, Takanori Kudo, David L. Rentkiewicz
  • Publication number: 20090042133
    Abstract: An antireflective coating composition which forms films with high n values is described.
    Type: Application
    Filed: August 10, 2007
    Publication date: February 12, 2009
    Inventors: Zhong Xiang, Jianhui Shan, Jian Yin, David Abdallah
  • Publication number: 20090042148
    Abstract: The present invention refers to a photoresist composition comprising (i) a polymer A comprising at least one acid labile group; (ii) at least one photoacid generator; (iii) at least one base; (iv) a polymer B, where polymer B is non-miscible with polymer A and soluble in the coating solvent, and; (v) a coating solvent composition. The present invention also relates to the process of imaging the photoresist.
    Type: Application
    Filed: August 6, 2007
    Publication date: February 12, 2009
    Inventors: Munirathna Padmanaban, Srinivasan Chakrapani, Guanyang Lin
  • Publication number: 20090035665
    Abstract: Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate.
    Type: Application
    Filed: July 31, 2007
    Publication date: February 5, 2009
    Applicant: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Publication number: 20090035708
    Abstract: A structure and a method for forming the same. The method includes providing a structure which includes (a) a to-be-patterned layer, (b) a photoresist layer on top of the to-be-patterned layer wherein the photoresist layer includes a first opening, and (c) a cap region on side walls of the first opening. A first top surface of the to-be-patterned layer is exposed to a surrounding ambient through the first opening. The method further includes performing a first lithography process resulting in a second opening in the photoresist layer. The second opening is different from the first opening. A second top surface of the to-be-patterned layer is exposed to a surrounding ambient through the second opening.
    Type: Application
    Filed: July 31, 2007
    Publication date: February 5, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Toshiharu Furukawa, Mark Charles Hakey, Steven John Holmes, David Vaclav Horak, Charles William Koburger, III
  • Publication number: 20090035701
    Abstract: A photolithography process using a photoresist is as following. A substrate is provided for coating a photoresist thereon to form a photoresist layer and the photoresist is formed by mixing photocatalyst particles and polymer binder in a solvent. The photoresist layer is well-adhesive and has good mechanical strength. A light is illuminated on the photoresist layer through a photo mask having a pre-designed pattern thereon. Then, the portion of the photoresist layer where the light projects is removed by water or another environment-friendly solvent so as to reduce the harmful waste produced in the processes.
    Type: Application
    Filed: October 9, 2007
    Publication date: February 5, 2009
    Inventors: Chau-Kuang Liau, Wen-Wei Chou, Jung-Kang Wu
  • Publication number: 20090035668
    Abstract: A self assembly step for the manufacture of an electronic component comprising, e.g., a semiconductor chip or semiconductor array or wafer comprises forming a diblock copolymer film placed on a random copolymer film substrate operatively associated with the electronic component and the diblock copolymer film wherein the surface energy of the random copolymer film is tailored by use of a photolithographic process prior to the self assembly step. By prior deterministic control over regional surface properties of the random copolymer film, domains of the diblock copolymer film form only in predefined areas. This approach offers simplified processing and a precise control of regions where domain formation occurs. Selective removal of some of the domains allows for further processing of the electronic component.
    Type: Application
    Filed: July 30, 2007
    Publication date: February 5, 2009
    Inventors: Gregory Breyta, Matthew E. Colburn
  • Publication number: 20090029298
    Abstract: Provided is a method of manufacturing a patterned magnetic recording medium. The method includes (a) forming a patterned recording layer on an underlayer of a first substrate; (b) coating a polymer layer on a surface of a second substrate; (c) transferring the polymer layer on the patterned recording layer; and (d) exposing the surface of the patterned recording layer.
    Type: Application
    Filed: November 14, 2007
    Publication date: January 29, 2009
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Du-hyun LEE, Sung-hoon CHOA, Jin-seung SOHN
  • Patent number: 7482112
    Abstract: A pattern forming method which uses a positive resist composition comprises: (A) a silicon-free resin capable of increasing its solubility in an alkaline developer under action of an acid; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a silicon-containing resin having at least one group selected from the group of consisting (X) an alkali-soluble group, (XI) a group capable of decomposing under action of an alkaline developer and increasing solubility of the resin (C) in an alkaline developer, and (XII) a group capable of decomposing under action of an acid and increasing solubility of the resin (C) in an alkaline developer, and (D) a solvent, the method comprising: (i) a step of applying the positive resist composition to a substrate to form a resist coating, (ii) a step of exposing the resist coating to light via an immersion liquid, (iii) a step of removing the immersion liquid remaining on the resist coating, (iv) a step of heating the resist coating, a
    Type: Grant
    Filed: January 22, 2007
    Date of Patent: January 27, 2009
    Assignee: FUJIFILM Corporation
    Inventors: Shinichi Kanna, Haruki Inabe, Hiromi Kanda
  • Publication number: 20090023078
    Abstract: Lithography masks and methods of manufacture thereof are disclosed. For example, a method of manufacturing a lithography mask includes forming a stack over a substrate. The stack includes bottom attenuated phase shift material layers, intermediate opaque material layers, and finally top resist layers. The method further includes patterning the stack and then trimming the resist layers to uncover a portion of the opaque material layers. The uncovered opaque material layers are subsequently etched followed by removal of any remaining resist layers.
    Type: Application
    Filed: July 20, 2007
    Publication date: January 22, 2009
    Inventors: Alois Gutmann, Sajan Marokkey, Henning Haffner, Chandrasekhar Sarma, Haoren Zhuang, Matthias Lipinski
  • Publication number: 20090004610
    Abstract: A method and apparatus for manufacturing a semiconductor device is disclosed. In particular, the application discloses a method that performs a lithography process using a material capable of increasing a depth of focus so as to prevent efficiency of the lithography process from being degraded due to high integration of a semiconductor device, and a pressure-type bake oven as an apparatus for forming a high refractive material on a semiconductor substrate, having advantages of reducing manufacturing costs of a semiconductor manufacturing process and increasing efficiency of the lithography process.
    Type: Application
    Filed: November 7, 2007
    Publication date: January 1, 2009
    Inventors: Hak Joon Kim, Jun Hyung Park
  • Publication number: 20080311530
    Abstract: A topcoat material for immersion lithography and a method of performing immersion lithography using the topcoat material. The topcoat material includes a mixture of a first polymer and a second polymer. The first and second polymers of the topcoat material, when the topcoat material is formed into a topcoat layer between an immersion fluid and a photoresist layer, disperse non-homogenously throughout the topcoat layer.
    Type: Application
    Filed: June 15, 2007
    Publication date: December 18, 2008
    Inventors: Robert D. Allen, Phillip Brock, Daniel P. Sanders, Linda K. Sundberg
  • Patent number: 7462439
    Abstract: Disclosed herein is a top anti-reflective coating polymer represented by Formula 1, below: wherein R1 and R2 are independently hydrogen, fluoro, methyl or fluoromethyl; R3 is a C1-10 hydrocarbon or a C1-10 hydrocarbon in which the hydrogen atoms are partly replaced by fluorine atoms; and a, b and c, representing the mole fraction of each monomer, are in the range between 0.05 and 0.9. Because a top anti-reflective coating formed using the anti-reflective coating polymer of Formula 1 is not soluble in water, it can be applied to immersion lithography using water as a medium for a light source. In addition, because the top anti-reflective coating can reduce the reflectance from an underlying layer, the uniformity of CD is improved, thus enabling the formation of an ultra fine pattern.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: December 9, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae Chang Jung, Cheol Kyu Bok, Chang Moon Lim, Seung Chan Moon
  • Publication number: 20080299487
    Abstract: An immersion lithography resist material comprising a matrix polymer having a first polarity and an additive having a second polarity that is substantially greater than the first polarity. The additive may have a molecular weight that is less than about 1000 Dalton. The immersion lithography resist material may have a contact angle that is substantially greater than the contact angle of the matrix polymer.
    Type: Application
    Filed: July 26, 2007
    Publication date: December 4, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Ching-Yu Chang
  • Patent number: 7445881
    Abstract: Disclosed is an acid etching resistance material comprising a compound having a repeating unit represented by the following general formula (1): (in the general formula (1), R1 is a hydrogen atom or methyl group; R3 is a cyclic group selected from an alicyclic group and an aromatic group; R4 is a polar group; R2 is a group represented by the following general formula (2); and j is 0 or 1): (in the general formula (2), R5 is a hydrogen atom or methyl group).
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: November 4, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Kenichi Ohashi, Akira Fujimoto, Takashi Sasaki
  • Patent number: 7435534
    Abstract: A method for manufacturing a semiconductor device effectively removes a solvent of a bottom antireflective coating film is using a porous material so as to prevent acid in a photoresist film from reacting with the solvent during a post exposure baking (PEB) process. The method includes forming a pattern formation layer on a wafer, forming a bottom antireflective coating film containing a solvent, on the pattern formation layer, arranging a porous material layer in contact with the bottom antireflective coating film, absorbing the solvent contained in the bottom antireflective coating film using the porous material layer, forming photoresist film patterns on predetermined portions of the bottom antireflective coating film, etching the bottom antireflective coating film using the photoresist film patterns as masks, and etching the pattern formation layer using the photoresist film patterns as masks to form patterns.
    Type: Grant
    Filed: December 30, 2005
    Date of Patent: October 14, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jae Hyun Kang
  • Publication number: 20080213706
    Abstract: This invention pertains to a method and apparatus for thermally developing a photosensitive element, and particularly to a method and apparatus for supporting the photosensitive element with a removable flexible support member during thermal treatment.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 4, 2008
    Inventors: Mark A. Hackler, Dietmar Dudek, William W. Early, Anandkumar R. Kannurpatti, Jeffrey Robert Lake, Robert A. McMillen, David B. Neufeglise, Pier Luigi Sassanelli
  • Patent number: 7416821
    Abstract: Thermally curable undercoat composition comprising for producing a bilayer relief image comprising: a) a polymer of Structure I comprising repeating units of Structure II, III, and IV b) a phenolic crosslinker; c) a thermal acid generator (TAG); and d) a solvent.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: August 26, 2008
    Assignee: Fujifilm Electronic Materials, U.S.A., Inc.
    Inventors: Binod B De, Sanjay Malik, J. Thomas Kocab, Thomas Sarubbi
  • Publication number: 20080192253
    Abstract: A method and a test-structure for determining an offset between lithographic masks are described. In one embodiment, an image of a first mask is provided in a patterning layer on a substrate. The image of the first mask comprises a first set of lines, each line separated by a distance D. An image of a second mask is then provided in the patterning layer. The image of the second mask comprises a second set of lines, each line also separated by the distance D. The second set of lines interlays the first set of lines to form a grating with a distance L between each of the lines of the first set of lines and the respective corresponding lines of the second set of lines. The offset between the first and second masks is determined by calculating the difference between the distance L and a predetermined value K, where 0<K<D. In a specific embodiment, K=½D.
    Type: Application
    Filed: February 8, 2007
    Publication date: August 14, 2008
    Inventors: Susie Xiuru Yang, Michael C. Smayling
  • Publication number: 20080187867
    Abstract: A photosensitive polyimide composition, a polyimide film, and a semiconductor device using the same are disclosed. The photosensitive polyimide composition can be cured by heating. A polyhydroxyimide is used as a base resin and can be mixed with a photoacid generator and a cross-linking agent having two or more vinylether groups. A film of the photosensitive polyimide composition can be developed by treatment with an alkaline aqueous solution. Embodiments of the invention enable improvement in production yield and reliability in a highly-integrated memory semiconductor packaging processes.
    Type: Application
    Filed: September 26, 2007
    Publication date: August 7, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myung Sup JUNG, Sang Mock LEE, Jingyu LEE
  • Patent number: 7407739
    Abstract: A resist developer capable of forming a high resolution resist pattern with good shape and little film thinning is provided, together with a resist pattern formation method using such a developer. The resist developer is an aqueous solution comprising an ammonium hydroxide represented by a general formula (I): R1nR24-nN+.OH? wherein R1 is a lower alkyl group in which the number of carbon atoms is A, R2 is a lower alkyl group in which the number of carbon atoms is B, A<B, and n is an integer from 1 to 3.
    Type: Grant
    Filed: September 29, 2005
    Date of Patent: August 5, 2008
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Kazuyuki Nitta
  • Publication number: 20080176173
    Abstract: A silver salt-containing layer containing a silver salt and provided on a support is exposed and developed to form a metal silver portion and a light-transmitting portion, and then the metal silver portion is further subjected to physical development and/or plating to form a conductive metal portion consisting of the metal silver portion carrying conductive metal particles. A method for producing a light-transmitting electromagnetic wave-shielding film which enables production of an electromagnetic wave-shielding material simultaneously having high EMI-shielding property and high transparency in a fine line pattern and also enables mass production of such films at a low cost, and a light-transmitting electromagnetic wave-shielding film obtained by the production method and free from the problem of moire are provided.
    Type: Application
    Filed: August 30, 2007
    Publication date: July 24, 2008
    Inventors: Hirotomo Sasaki, Ryou Nishizakura, Kiyoshi Morimoto, Shunji Takada