Removal Of Imaged Layers Patents (Class 430/329)
  • Patent number: 7989156
    Abstract: A substrate treatment apparatus which uniformly forms a fine resist pattern with a desired dimension within a plane of a substrate is disclosed. In a solvent vapor supply unit, a solvent vapor discharge nozzle is provided which can discharge a solvent vapor for swelling a resist pattern while moving above the front surface of a wafer. The wafer for which developing treatment has been finished and on which a resist pattern has been formed is carried into the solvent vapor supply unit, and the solvent vapor discharge nozzle is moved above the front surface of the wafer, so that the solvent vapor discharge nozzle supplies the solvent vapor onto the front surface of the wafer. This uniformly supplies a predetermined amount of solvent vapor to the resist pattern on the front surface of the wafer. As a result, the solvent vapor causes the resist pattern to evenly swell by a predetermined dimension, so that a resist pattern with a desired dimension is finally uniformly formed within the plane of the wafer.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: August 2, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 7985530
    Abstract: An enhanced process forming a material pattern on a substrate deposits the material anisotropically on resist material patterned to correspond to an image of the material pattern. The material is etched isotropically to remove a thickness of the material on sidewalls of the resist pattern while leaving the material on a top surface of the resist pattern and portions of the surface of the substrate. The resist pattern is removed by dissolution thereby lifting-off the material on the top surface of the resist pattern while leaving the material on the substrate surface as the material pattern. Alternately, a first material layer is deposited on the resist pattern and a second material layer is deposited and planarized. The second material layer is etched exposing the first material while leaving the second material in features of the resist pattern. The first material and the resist are removed leaving the first material pattern.
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: July 26, 2011
    Assignee: Molecular Imprints, Inc.
    Inventors: Gerard M. Schmid, Douglas J. Resnick
  • Patent number: 7977019
    Abstract: A semiconductor device manufacturing method, a semiconductor device manufacturing equipment and a computer readable medium storing a computer program provide for easily identifying a cause of a deviation of pattern dimensions from the objective dimension. A first storage section stores a relation between a PEB temperature and a photoresist dimension of a post-lithography. A second storage section stores a relation between a PEB temperature and a post-etching dimension. A primary correction section determines a first corrected PEB temperature for conforming the photoresist dimension of a post-lithography to the objective dimension, using the relation data stored in the first storage section. A secondary correction section determines the second corrected PEB temperature for conforming the post-etching dimension using the first corrected PEB temperature to the objective dimension, using the relation data stored in the second storage section.
    Type: Grant
    Filed: February 13, 2009
    Date of Patent: July 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takashi Murakami
  • Patent number: 7960097
    Abstract: A method of minimizing etch undercut and providing clean metal liftoff in subsequent metal deposition is provided. In one embodiment a bilayer resist mask is employed and used for etching of underlying substrate material and subsequent metal liftoff. In one embodiment, the top layer resist such as positive photoresist which is sensitive to selected range of energy, such as near UV or violet light, is first patterned by standard photolithography techniques and resist development in a first developer to expose portion of a bottom resist layer which is sensitive to a different selected range of energy, such as deep UV light. The exposed portion of the bottom layer resist is then removed by anisotropic etching such as oxygen reactive ion etching using the top layer resist as the etch mask to expose portion of the underlying substrate. This minimizes the undercut in the bottom resist around the top photoresist opening.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: June 14, 2011
    Assignee: Triquint Semiconductor, Inc.
    Inventors: Frank Hin Fai Chau, Yan Chen
  • Patent number: 7947427
    Abstract: A relief image printing element with an integral imageable printing surface and a method of preparing the relief image printing element are described. The relief image printing element comprises a dimensionally stable base layer; a floor layer comprised of a cured polymer selected from the group consisting of photopolymers, and polymers with a resilience of at least 40% when cured; and at least one layer of an imageable material. Most preferably, the floor layer created by curing the layer through the top of the printing element by face exposure. The printing element may also contain a compressible layer between the base layer and the floor layer.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: May 24, 2011
    Inventors: Laurie A. Bryant, Jonghan Choi, Ryan Vest
  • Patent number: 7943290
    Abstract: Provided is a method of forming a fine pattern having a pattern dimension of 1 ?m or less, repeatedly with reproducibility. The method of forming the fine pattern includes: forming an azobenzene-functionalized polymer film on an etched layer; irradiating the azobenzene-functionalized polymer film using an interference laser beam to form a patterned azobenzene-functionalized polymer film having fine-patterned surface relief gratings by a photophysical mass transporting of the azobenzene-functionalized polymer; etching the etched layer using the azobenzene-functionalized polymer film having the surface relief grating patterns as an etching mask; and removing the patterned azobenzene-functionalized polymer film.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: May 17, 2011
    Assignee: Samsung LED Co., Ltd.
    Inventors: Jae-hee Cho, Cheol-soo Sone, Dong-yu Kim, Hyun-gi Hong, Seok-soon Kim
  • Patent number: 7932016
    Abstract: Photoresist compositions and methods suitable for depositing a thick photoresist layer in a single coating application are provided. Such photoresist layers are particularly suitable for use in chip scale packaging, for example, in the formation of metal bumps.
    Type: Grant
    Filed: November 8, 2006
    Date of Patent: April 26, 2011
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Mark R. Winkle, Jill E. Steeper, Xiang-Qian Liu, Janet Okada-Coakley, Scott A. Ibbitson
  • Patent number: 7915115
    Abstract: Methods for forming a front-end-of-the-line (FEOL) dual high-k gate using a photoresist mask and structures thereof are disclosed. One embodiment of the disclosed method includes depositing a high-k dielectric film on a substrate of a FEOL CMOS structure followed by depositing a photoresist thereon; patterning the high-k dielectric according to the photoresist; and removing the photoresist thereafter. The removing of the photoresist includes using an organic solvent followed by removal of any residual photoresist including organic and/or carbon film. The removal of residual photoresist may include a degas process, alternatively known as a bake process. Alternatively, a nitrogen-hydrogen forming gas (i.e., a mixture of nitrogen and hydrogen) (N2/H2) or ammonia (NH3) may be used to remove the photoresist mask. With the use of the plasma nitrogen-hydrogen forming gas (N2/H2) or a plasma ammonia (NH3), no apparent organic residual is observed.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: March 29, 2011
    Assignees: International Business Machines Corporation, Advanced Micro Devices, Inc.
    Inventors: Michael P. Chudzik, Rashmi Jha, Naim Moumen, Keith Kwong Hon Wong, Ying H. Tsang
  • Patent number: 7897305
    Abstract: A method for forming a pattern and a method for fabricating an LCD device using the same is disclosed, wherein a photoresist layer is removed from a substrate without using a photoresist stripper, so that the pattern is formed with a low fabrication costs. The method comprising sequentially forming a pattern material layer, a transformed material layer and a photoresist layer on a substrate; patterning the photoresist layer by exposure and development using a mask; selectively etching the transformed material layer and the pattern material layer by using the patterned photoresist layer as a mask; and removing the transformed material layer and the patterned photoresist layer in a lift-off method by applying light.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: March 1, 2011
    Assignee: LG Display Co., Ltd.
    Inventors: Hye Sun Lee, Jae Young Oh
  • Patent number: 7892986
    Abstract: An ashing method of a target substrate is applied after plasma-etching a part of a low-k film by using a patterned resist film as a mask in a vacuum processing chamber. The method includes a process of removing the resist film in the vacuum processing chamber, and a pre-ashing process, performed prior to the main ashing process, for ashing the target substrate for a time period while maintaining the target substrate at a temperature in a range of from about 80 to 150° C.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: February 22, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Tahara, Eiichi Nishimura, Kumiko Yamazaki
  • Patent number: 7892719
    Abstract: Embodiments of the present invention provide EUV (extreme ultraviolet) photoresists comprising photonic crystals, as well as other components. Photonic crystals in general provide the ability not only to block light transmission, but also to create resonant pockets in which light can propagate. The photonic crystals are based on bio-related polymers that are capable of self-assembly into crystalline form.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: February 22, 2011
    Assignee: Intel Corporation
    Inventor: Eric C. Hannah
  • Patent number: 7875419
    Abstract: It is an object to provide a technique for removing a resist favorably without leaving residue in the case of using a nonaqueous resist stripper. According to the present invention, in order to achieve the object, when a resist pattern is removed by using the nonaqueous resist stripper, it becomes easier to remove the resist pattern after dry etching or ion doping, by performing exposure treatment on the resist pattern. After a resist pattern is formed from a DNQ-novolac resin type of positive resist composition, the resist pattern is irradiated with light within the range of photosensitive wavelength of the DNQ photosensitizer, thereby removing the resist pattern with the nonaqueous resist stripper.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: January 25, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masaharu Nagai, Kiyofumi Ogino, Teruhisa Nakai, Eiji Shioda
  • Patent number: 7867696
    Abstract: A monitoring device and method is disclosed for monitoring the saturation level of resin in solvent baths, such as those used to remove resin from objects form by stereolithography. The monitoring device operates by monitoring an electrical characteristic, such as impedance, of the solvent bath as the resin-to-solvent ratio of the bath increases during use. Signals are provided by the monitoring device to the operator of the liquid storage device containing the solvent bath in a manner allowing the operator to determine when the solvent bath has reached the end of its useful life.
    Type: Grant
    Filed: April 15, 2004
    Date of Patent: January 11, 2011
    Assignee: The Boeing Company
    Inventor: Victor Blakemore Slaughter
  • Patent number: 7851139
    Abstract: A pattern forming method according to an embodiment of the present invention includes forming a resist layer on a semiconductor substrate, selectively exposing the resist layer, developing the selectively exposed resist layer, decomposing photosensitizer in the resist layer after developing the resist layer, removing the photosensitizer or acid generated from the decomposed photosensitizer, applying a shrink material on the developed resist layer after removing the photosensitizer or the acid generated from the decomposed photosensitizer, performing a heating process for the resist layer on which the shrink material is applied, and removing a part of the heat-processed shrink material.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: December 14, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Eishi Shiobara, Takehiro Kondoh
  • Patent number: 7838206
    Abstract: A substrate processing method according to the present invention is to be applied for stripping and removing, from the surface of a substrate, a resist no longer required. According to the substrate processing method, a resist stripping liquid is supplied to the center portion of the surface of a substrate held by a substrate holding unit. An organic solvent liquid is supplied to the peripheral edge portion of the surface of the substrate held by the substrate holding unit.
    Type: Grant
    Filed: June 5, 2007
    Date of Patent: November 23, 2010
    Assignee: Dainippon Screen MFG. Co., Ltd.
    Inventor: Akio Hashizume
  • Patent number: 7825041
    Abstract: A method of reworking a semiconductor substrate and a method of forming a pattern of semiconductor device using the same without damage to an organic anti-reflective coating (ARC) is provided. The method of reworking a semiconductor substrate includes forming a photoresist pattern on a substrate having the organic ARC formed thereon. An entire surface of the substrate having the photoresist pattern formed thereon may be exposed when a defect is present in the photoresist pattern. The entire-surface-exposed photoresist pattern may be removed by performing a developing process without damage to the organic ARC.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: November 2, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-Sung Kim, Tae-Kyu Kim, Seok-Hwan Oh
  • Patent number: 7820368
    Abstract: A photoresist stripper composition, a method for forming wire structures thereby, and a method of fabricating a thin film transistor substrate using the composition. The photoresist stripper composition includes about 50 WT % to about 70 WT % of butyldiglycol, about 20 to about 40 WT % of an alkylpyrrolidone, about 1 WT % to about 10 WT % of an organic amine compound, about 1 to about 5 WT % of aminopropylmorpholine, and about 0.01 to about 0.5 WT % of a mercapto compound.
    Type: Grant
    Filed: July 25, 2006
    Date of Patent: October 26, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hong-sick Park, Shi-yul Kim, Jong-hyun Choung, Won-suk Shin
  • Patent number: 7812813
    Abstract: A method and apparatus are provided for stamping a piece of ePaper. A grid is positioned within a selected distance to a first side of the piece of ePaper. A grounding pin conductively connects a conductive backing plate located on a second side of the piece of ePaper. The grounding pin completes a voltage path from the grid through the piece of ePaper to the conductive backing plate. A voltage is supplied to the grid and supplying the voltage to the grid changes the appearance of the piece of ePaper to form a stamped image.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: October 12, 2010
    Assignee: International Business Machines Corporation
    Inventor: Robert Thomas Cato
  • Patent number: 7807579
    Abstract: An oxygen-free hydrogen plasma ashing process particularly useful for low-k dielectric materials based on hydrogenated silicon oxycarbide materials. The main ashing step includes exposing a previously etched dielectric layer to a plasma of hydrogen and optional nitrogen, a larger amount of water vapor, and a yet larger amount of argon or helium. Especially for porous low-k dielectrics, the main ashing plasma additionally contains a hydrocarbon gas such as methane. The main ashing may be preceded by a short surface treatment by a plasma of a hydrogen-containing reducing gas such as hydrogen and optional nitrogen.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Chan-Syun Yang, Changhun Lee
  • Patent number: 7803519
    Abstract: A method for manufacturing a semiconductor device using a photoresist polymer comprising a fluorine component, a photoresist composition containing the photoresist polymer and an organic solvent to reduce surface tension, by forming a photoresist film uniformly on the whole surface of an underlying layer pattern to allow a subsequent ion-implanting process to be stably performed.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: September 28, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae Chang Jung
  • Patent number: 7799516
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: September 21, 2010
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, SueAnn Bidstrup Allen, Xiaoqun Wu, Clifford Lee Henderson
  • Patent number: 7758759
    Abstract: A process for etching a metal or alloy surface which comprises applying an etch-resist ink by ink jet printing to selected areas of the metal or alloy, solidifying the etch-resist ink without the use of actinic light and/or particle beam radiation and then removing the exposed metal or alloy by a chemical etching process wherein the etch-resist ink comprises the components: A) 60 to 100 parts carrier vehicle comprising one or more components which contain at least one metal chelating group; D) 0 to 40 parts colorant; and E) 0 to 5 parts surfactant; wherein the ink has a viscosity of not greater than 30 cPs (mPa·s) at the firing temperature, all parts are by weight and the total number of parts A)+B)+C)=100.
    Type: Grant
    Filed: October 1, 2004
    Date of Patent: July 20, 2010
    Assignee: Fujifilm Imaging Colorants Limited
    Inventors: Mark Robert James, David Cottrell
  • Patent number: 7755064
    Abstract: A resist pattern processing apparatus comprises a stage for mounting a substrate having a patterned photoresist arranged on a surface thereof, a UV-emitting part for emitting UV rays to the stage, and an annular member for surrounding the whole periphery of the substrate. This allows the annular member to restrain ozone supplied near a mounting surface for the substrate on the stage from diffusing to the periphery of the stage, whereby the ozone concentration becomes even in the surface of the substrate mounted on the stage.
    Type: Grant
    Filed: March 7, 2007
    Date of Patent: July 13, 2010
    Assignee: TDK Corporation
    Inventors: Hitoshi Hatate, Akifumi Kamijima
  • Patent number: 7754417
    Abstract: A method for making printed circuits and printed circuit boards which includes coating a non-metallized substrate and plating an image of a desired circuit design directly onto the coated substrate without the need to image the circuit design on an intermediate silver halide polyester film or diazo and utilizing existing imaging, developing and etching subtractive techniques in conventional printed circuit board processing.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: July 13, 2010
    Inventor: Steven Lee Dutton
  • Patent number: 7745100
    Abstract: Polymers, methods of use thereof, and methods of decomposition thereof, are provided. One exemplary polymer, among others, includes, a photodefinable polymer having a sacrificial polymer and a photoinitiator.
    Type: Grant
    Filed: June 12, 2006
    Date of Patent: June 29, 2010
    Assignee: Georgia Tech Research Corporation
    Inventors: Paul A. Kohl, SueAnn Bidstrup Allen, Xiaoqun Wu, Clifford Lee Henderson
  • Patent number: 7691559
    Abstract: A method of performing immersion lithography on a semiconductor wafer is provided. The method includes providing a layer of resist onto a surface of the semiconductor wafer. Next, an edge-bead removal process spins the wafer at a speed greater than 1000 revolutions per minute and dispenses solvent through a nozzle while the wafer is spinning. Then, the resist layer is exposed using an immersion lithography exposure system.
    Type: Grant
    Filed: January 24, 2006
    Date of Patent: April 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, C. C. Ke, Vincent Yu
  • Patent number: 7691549
    Abstract: A method for forming high resolution patterns on a substrate surface is disclosed. A photolithographic patterning tool is loaded with a substrate having a photoimagable layer. Multiple exposures to using interference patterns and developments are performed on the photoimagable layer to define a composite line pattern in the photoimagable layer. The composite line pattern having a greater pitch density than possible with single exposure with the same photolithographic patterning tool. The lines of the composite line pattern are selectively cut or trimmed at a plurality of locations to define a desired pattern in the photoimageable layer. The cuts can themselves be achieved with a plurality of photomasks or exposure to direct write tools to achieve densities beyond that allowed by k1>0.25 limit.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: April 6, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Lance A. Glasser
  • Patent number: 7648820
    Abstract: Antireflective hardmask compositions and techniques for the use of antireflective hardmask compositions for processing of semiconductor devices are provided. In one aspect of the invention, an antireflective hardmask layer for lithography is provided. The antireflective hardmask layer comprises a carbosilane polymer backbone comprising at least one chromophore moiety and at least one transparent moiety; and a crosslinking component. In another aspect of the invention, a method for processing a semiconductor device is provided. The method comprises the steps of: providing a material layer on a substrate; forming an antireflective hardmask layer over the material layer. The antireflective hardmask layer comprises a carbosilane polymer backbone comprising at least one chromophore moiety and at least one transparent moiety; and a crosslinking component.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Elbert Huang, Arpan P. Mahorowala, David R. Medeiros, Dirk Pfeiffer, Karen Temple
  • Patent number: 7622240
    Abstract: A molecular resist composition and method of use is disclosed wherein the composition includes no silicon containing material, no polymeric material, and a substituted oligosaccharide, wherein the substituted oligosaccharide is substituted with at least one acid-cleavable —OR group, wherein the substituted oligosaccharide has 2 to 10 monosaccharides, wherein the molecular resist may be initially insoluble in developer, which may be an aqueous alkaline solution or developer consisting essentially of water. In some embodiments, the molecular resist may become soluble in the developer consisting essentially of water upon exposure to radiation having a wavelength of 193 nm or less and a post-exposure bake temperature from about room temperature to about 110° C. The resist material of the present invention may be used to print feature sizes wherein developed images may have a line/spacing not greater than than 120 nm when the developer consists essentially of water or an aqueous alkaline solution.
    Type: Grant
    Filed: February 28, 2005
    Date of Patent: November 24, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ratnam Sooriyakumaran, Hoa D. Truong
  • Patent number: 7608389
    Abstract: Novel photoresist materials, which can be photolithographically processed in biocompatible conditions are presented in this invention. Suitable lithographic scheme for the use of these and analogous resists for biomolecule layer patterning on solid substrates are also described. The processes described enable micropatterning of more than two different proteins on solid substrates without denaturation of the proteins. The preferred resist materials are based on (meth)acrylate copolymers that contain at least one acid cleavable ester group and at least one hydrophilic group such as an alcoholic or a carboxylic group.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: October 27, 2009
    Assignees: National Centre for Scientific Research Demokritos
    Inventors: Panagiotis Argitis, Konstantinos Misiakos, Sotirios E. Kakabakos, Constantinos D. Diakoumakos
  • Patent number: 7595143
    Abstract: A photoresist composition includes about 10 to about 70% by weight of a binder resin including a phenol-based polymer, about 0.5 to about 10% by weight of a photo-acid generator, about 1 to about 20% by weight of a cross-linker, about 0.1 to about 5% by weight of a dye and about 10 to about 80% by weight of a solvent. The photoresist composition may be applied to, for example, a method of manufacturing a TFT substrate.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: September 29, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Min Park, Hi-Kuk Lee, Hyoc-Min Youn, Ki-Hyuk Koo, Byung-Uk Kim
  • Patent number: 7582414
    Abstract: A method and system is described for drying a thin film on a substrate following liquid immersion lithography. Drying the thin film to remove immersion liquid from the thin film is performed prior to baking the thin film, thereby reducing the likely hood for interaction of immersion liquid with the baking process. This interaction has been shown to cause non-uniformity in critical dimension for the pattern formed in the thin film following the developing process.
    Type: Grant
    Filed: May 26, 2006
    Date of Patent: September 1, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Chung-Peng Ho, Kathleen Nafus, Kaz Yoshioka, Richard Yamaguchi
  • Patent number: 7560202
    Abstract: A method for manufacturing an image sensor is provided. The method includes forming a metal pad on a pad region of a semiconductor substrate having an active region and the pad region, forming a metal pad opening by forming a passivation layer on an entire surface of the semiconductor substrate including the metal pad and selectively removing the passivation layer to expose the metal pad, forming a color filter array on the passivation layer of the active region by removing a photosensitive layer used for forming the color filter array through an ashing process using an end point detection method, and forming a microlens on the color filter layer.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: July 14, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Seong Hee Jeong
  • Patent number: 7556898
    Abstract: A target and method for use in polarized light lithography. A preferred embodiment comprises a first structure located on a reference layer, wherein the first structure is visible through a second layer, and a second structure located on the second layer, wherein the second structure is formed from a photomask containing a plurality of sub-structures oriented in a first orientation, wherein a polarized light is used to pattern the second structure onto the second layer, and wherein a polarization of the polarized light is the same as the orientation of the plurality of sub-structures. The position, size, and shape of the second structure is dependent upon a polarity of the polarized light, permitting a single design for an overlay target to be used with different polarities of polarized light.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: July 7, 2009
    Assignee: Infineon Technologies AG
    Inventor: Sajan Marokkey
  • Patent number: 7553606
    Abstract: Disclosed is a method of forming patterns in semiconductor devices by using photo resist patterns. These methods comprise forming photo resist patterns on a substrate. Inferior patterns are selected among the photo resist patterns. The inferior patterns are eliminated or shrunken by irradiating the selected inferior patterns with an electron beam.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gun Kang, Jin-Mo Kang, Jae-Ho Lee, Jun-Seop Lee
  • Patent number: 7550394
    Abstract: A method of fabricating a semiconductor device includes a dry etching process of a silicon surface. The dry etching process is conducted by an etching gas containing at least one gas species selected from the group consisting of: HBr, HCl, Cl2, Br2 and HI, wherein the dry etching process includes a first step conducted at a first temperature; and a second step conducted at a second temperature.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: June 23, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hiroshi Morioka
  • Patent number: 7494749
    Abstract: The invention, in its various aspects, is an interdependent binary photomask for use in a photolithography operation in a semiconductor fabrication process, a method for fabricating these interdependent photomasks, and a method of using the same. The photomask comprises a first binary reticle and a second binary reticle. Each binary reticle includes a pattern formed on a plate, but the pattern formed on one plate is interdependent with the pattern formed on the other plate so that the reticles are used in tandem to transfer the pattern onto wafers having features residing in different focal planes. The method of fabricating the interdependent binary photomask consequently includes specifying a first and a second portion of a circuit layout, the first and second circuit portions being interdependent. The first and second portions are digitized and used to form first and second interdependent patterns on separate reticles.
    Type: Grant
    Filed: February 22, 2005
    Date of Patent: February 24, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jeffrey C. Haines
  • Patent number: 7479364
    Abstract: A copolymer is provided for use in a lithographic photoresist composition, particularly a chemical amplification photoresist. In a preferred embodiment, the copolymer is substantially transparent to deep ultraviolet radiation, i.e., radiation of a wavelength less than 250 nm, including 157 nm, 193 nm and 248 nm radiation, and has improved sensitivity and resolution. In one embodiment, the copolymer is comprised of an ?-cyano- or an ?-trifluoro-methacrylate monomer unit and a vinyl ether monomer unit. A lithographic photoresist composition containing the fluorinated copolymer is also provided, as is a process for using the composition to generate resist images on a substrate, i.e., in the manufacture of integrated circuits or the like.
    Type: Grant
    Filed: December 4, 2007
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventor: Hiroshi Ito
  • Patent number: 7470503
    Abstract: A preferred embodiment of the invention provides a semiconductor fabrication process. Embodiments include a method for removing contaminating particles from the surface of the wafer, such as in lithography. Embodiments also provide methods for repairing patterning defects caused by particles. The method comprises forming a resist layer over a substrate and a topcoat layer over the resist layer. The method further includes exposing the resist layer, and developing the resist layer a first time. Preferably, developing the resist layer the first time comprises dissolving a first portion of the topcoat layer in the developing solution. Embodiments further include spinning the substrate, developing the resist layer a second time after spinning the substrate. Preferably, developing the resist layer the second time comprises dissolving a second portion of the topcoat layer.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: December 30, 2008
    Assignee: Infineon Technologies AG
    Inventor: Stefan Brandl
  • Publication number: 20080286701
    Abstract: An etching composition, particularly for kinetically controlled etching of copper and copper alloy surfaces; a process for etching copper and copper alloys, particularly for etching at high rates to provide uniform and smooth, isotropic surfaces; an etched copper or copper alloy surface obtained by the process; and a process for generating copper or copper alloy electrical interconnects or contact pads. The etching composition and etching processes provide a smooth, isotropic fast etch of copper and copper alloys for semiconductor fabrication and packaging.
    Type: Application
    Filed: May 17, 2007
    Publication date: November 20, 2008
    Applicant: IBM CORPORATION (YORKTOWN)
    Inventors: David L. Rath, Emanuel I. Cooper
  • Patent number: 7452660
    Abstract: A method and apparatus is provided for using a plasma generated from a processing gas mixture including H2O to efficiently strip photoresist material without causing significant damage to exposed, underlying low k dielectric material. The method includes disposing the processing gas mixture including the H2O over the wafer. The processing gas mixture including the H2O is then transformed into a plasma. The plasma serves to remove the photoresist material from the substrate without adversely affecting the exposed low k dielectric material.
    Type: Grant
    Filed: August 11, 2004
    Date of Patent: November 18, 2008
    Assignee: Lam Research Corporation
    Inventors: Zhisong Huang, Reza Sadjadi
  • Patent number: 7452659
    Abstract: Surface features are fabricated using a single layer or multi-layer molecular resist. The resist is preferably a selective adsorption resist. Selective adsorption resist is a resist that allows a deposited material to penetrate the resist such that the resist will reform on the top of the deposited material. Also, a nanofabricated system enables monitoring of the addition or removal of molecular species or proteins from a junction by monitoring the electronic properties of the junction.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: November 18, 2008
    Assignee: The Penn State Research Foundation
    Inventor: Gregory S. McCarty
  • Publication number: 20080280235
    Abstract: Photoresist strippers and cleaning compositions of this invention are provided by non-aqueous, non-corrosive cleaning compositions that resist galvanic corrosion when used on stacked layer structures of different types of metals at a surface of an electronic device.
    Type: Application
    Filed: March 16, 2006
    Publication date: November 13, 2008
    Inventor: Seiji Inaoka
  • Patent number: 7448396
    Abstract: An impurities elimination apparatus including a base plate, a first nozzle for removing impurities on the base plate using air suction, a glass substrate disposed on the base plate, and a second nozzle for coating the glass substrate with an organic material.
    Type: Grant
    Filed: June 15, 2005
    Date of Patent: November 11, 2008
    Assignee: LG Display Co., Ltd.
    Inventor: Chul Jeong
  • Patent number: 7445881
    Abstract: Disclosed is an acid etching resistance material comprising a compound having a repeating unit represented by the following general formula (1): (in the general formula (1), R1 is a hydrogen atom or methyl group; R3 is a cyclic group selected from an alicyclic group and an aromatic group; R4 is a polar group; R2 is a group represented by the following general formula (2); and j is 0 or 1): (in the general formula (2), R5 is a hydrogen atom or methyl group).
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: November 4, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Kenichi Ohashi, Akira Fujimoto, Takashi Sasaki
  • Publication number: 20080261384
    Abstract: A method of removing a photoresist layer is provided. An ion implantation process has been performed on the photoresist layer to transform a surface of the photoresist layer to a crust and a soft photoresist layer remains within the crust. The method includes performing a first removing step to remove the crust, such that the soft photoresist layer is exposed. Thereafter, a second removing step is performed to remove the soft photoresist layer. The first and the second removing steps are performed in difference chambers, and a temperature for performing the first removing step is lower than that for performing the second removing step and lower than a gasification temperature of a solvent in the soft photoresist layer.
    Type: Application
    Filed: April 18, 2007
    Publication date: October 23, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Zhi-Qiang Sun, Xi PEI, Tien-Cheng Lan, Yu-Jou Chen, Guo-Fu Zhou, Kai-Ping Huang, Hong-Siek Gan, Jian-Peng Yan, Kai YANG, Sheng ZHANG
  • Patent number: 7432209
    Abstract: A plasma etch process with in-situ backside polymer removal begins with a workpiece having a porous or non-porous carbon-doped silicon oxide dielectric layer and a photoresist mask on a surface of the workpiece. The workpiece is clamped onto an electrostatic chuck in an etch reactor chamber. The process includes introducing a fluoro-carbon based process gas and applying RF bias power to the electrostatic chuck and RF source power to an overhead electrode to etch exposed portions of the dielectric layer while depositing protective fluoro-carbon polymer on the photoresist mask. The process further includes removing the fluoro-carbon based process gas and introducing a hydrogen-based process gas and applying RF source power to the overhead electrode.
    Type: Grant
    Filed: March 22, 2006
    Date of Patent: October 7, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Gerardo A. Delgadino, Richard Hagborg, Douglas A. Buchberger, Jr.
  • Publication number: 20080241766
    Abstract: To overcome the limitations to development of photosensitive layers in a lithography process using a light source such as KrF, ArF, VUV, EUV, E-beam, ion beam, etc., and a patterning process of a large circuit board or a bending substrate, the invention provides a method for manufacturing a semiconductor device in which the photosensitive layer comprises a thermal acid generator that is reacted with heat to form an acid, and a masking process in a lithography process using a light source is performed as a heat conduction process using a thermally conductive pattern so that a patterning process is performed easily without limiting the size and shape of a semiconductor substrate.
    Type: Application
    Filed: November 26, 2007
    Publication date: October 2, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Sang Man Bae
  • Patent number: 7427360
    Abstract: A process for making an electronic device comprising a dielectric substrate laminated with an electrically conductive metal or alloy which comprises applying a non-aqueous etch-resistant ink by ink jet printing to selected areas of the metal or alloy, exposing the etch-resistant ink to actinic radiation and/or particle beam radiation to effect polymerisation, removing exposed metal or alloy by a chemical etching process and then removing the polymerised etch-resistant ink by alkali wherein the etch-resistant ink is substantially solvent free and comprises the components: A) 30 to 90 parts acrylate functional monomers free from acid groups comprising mono or higher functionality wherein 5-95% by weight is one or more mono-functional monomers; B) 1 to 30 parts acrylate functional monomer containing one or more acid groups; C) 0 to 20 parts polymer or prepolymer; D) 0 to 20 parts radical initiator; E) 0 to 5 parts colorant; F) 0 to 5 parts surfactant; and wherein the ink has a viscosity of not greater than 3
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: September 23, 2008
    Assignee: Fujifilm Imaging Colorants Limited
    Inventors: Alan John Hopper, Mark Robert James
  • Patent number: 7427466
    Abstract: Mastering techniques are described that can improve the quality of a master used in data storage disk manufacturing. In particular, the techniques described herein can improve resolution of the features created on the master by reducing mastering noise. The techniques include depositing a multi-layer structure adjacent a master substrate layer. The multi-layer structure includes an etch stop layer, an etch layer, and a photoresist layer. A thickness of each of the layers is selected to generate substantially no reflectivity for at least one interface of the multi-layer structure to substantially eliminate stray light effects. The photoresist layer defines a portable conformable mask (PCM) for the etch layer. The etch layer is etched through the contact mask to define a feature of the master in the etch layer. The etch stop layer thickness may be selected to be as thin as possible to reduce surface roughness of the multi-layer structure.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: September 23, 2008
    Assignee: Imation Corp.
    Inventors: Terry L. Morkved, Frank E. Aspen