Radiation Mask Patents (Class 430/5)
  • Patent number: 11467485
    Abstract: A blankmask for extreme ultraviolet lithography includes a reflection film, a capping film, and an absorbing film that are sequentially formed on a transparent substrate, in which the reflection film has a surface roughness of 0.5 nm Ra or less. It is possible to prevent footing of an EUV photomask pattern from occurring, improving flatness of an EUV blankmask, and prevent oxidation and defects of a capping film.
    Type: Grant
    Filed: November 5, 2020
    Date of Patent: October 11, 2022
    Assignee: S&S TECH Co., Ltd.
    Inventors: Cheol Shin, Jong-Hwa Lee, Chul-Kyu Yang, Gil-Woo Kong, Gyeong-Won Seo
  • Patent number: 11454876
    Abstract: Methods of coating extreme ultraviolet (EUV) reticle carrier assemblies are disclosed. The method includes depositing an adhesion layer on the EUV reticle carrier assembly, depositing at least one EUV absorber layer on the EUV reticle carrier assembly and depositing a stress-relieving layer on EUV reticle carrier assembly. The coated EUV reticle carrier assemblies exhibit reduced particle defect generation during EUV mask blank manufacturing.
    Type: Grant
    Filed: December 14, 2020
    Date of Patent: September 27, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Binni Varghese, Vibhu Jindal, Azeddine Zerrade, Shiyu Liu, Ramya Ramalingam
  • Patent number: 11454877
    Abstract: An EUV reflective structure includes a substrate and multiple pairs of a Si layer and a Mo layer. The Si layer includes a plurality of cavities.
    Type: Grant
    Filed: October 2, 2019
    Date of Patent: September 27, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Benny Ku, Keith Kuang-Kuo Koai, Wen-Hao Cheng
  • Patent number: 11454882
    Abstract: A pellicle for a reflective mask includes a pellicle body, a pellicle frame below the pellicle body to support the pellicle body, and a pattern structure in at least a part of a surface of the pellicle body, wherein the pattern structure includes a plurality of patterns.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: September 27, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jinhwan Lee, Jeonggil Kim, Sunghyup Kim
  • Patent number: 11448975
    Abstract: An overlay mark includes a first, a second, a third, and a fourth component. The first component is located in a first region of the first overlay mark and includes a plurality of gratings that extend in a first direction. The second component is located in a second region of the first overlay mark and includes a plurality of gratings that extend in the first direction. The third component is located in a third region of the first overlay mark and includes a plurality of gratings that extend in a second direction different from the first direction. The fourth component is located in a fourth region of the first overlay mark and includes a plurality of gratings that extend in the second direction. The first region is aligned with the second region. The third region is aligned with the fourth region.
    Type: Grant
    Filed: February 9, 2021
    Date of Patent: September 20, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yu-Ching Lee, Te-Chih Huang, Yu-Piao Fang
  • Patent number: 11443928
    Abstract: An etching apparatus and an etching method thereof are provided. An end point detector detects a light intensity at a specific wavelength for light generated when an etching process is performed on a material to be processed, and generates an end point detection signal. The material to be processed includes a material layer and at least one mask layer formed on the material layer. A control device determines an etching completion time of the mask layer according to the end point detection signal, calculates a thickness of the mask layer according to the etching completion time, and adjusts an etching time of the material layer according to the thickness of the mask layer.
    Type: Grant
    Filed: January 31, 2021
    Date of Patent: September 13, 2022
    Assignee: Winbond Electronics Corp.
    Inventors: Shih-Chieh Lin, Shuen-Hsiang Ke
  • Patent number: 11442356
    Abstract: A multi-layer reflective structure is disposed over the substrate. An amorphous capping layer is disposed over the multi-layer reflective structure. The amorphous capping layer may contain ruthenium, oxygen, niobium, nitrogen, tantalum, or zirconium. An amorphous layer may also be disposed between the multi-layer reflective structure and the amorphous capping layer. The amorphous layer includes amorphous silicon, amorphous silicon oxide, or amorphous silicon nitride.
    Type: Grant
    Filed: May 11, 2020
    Date of Patent: September 13, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Chih-Tao Chien, Ming-Wei Chen, Ta-Cheng Lien
  • Patent number: 11440060
    Abstract: A method for cleaning a substrate includes receiving a photomask substrate comprising a multilayered reflective structure disposed over a surface of the photomask substrate, a capping layer disposed on the multilayered reflective structure and an absorber, wherein the photomask substrate has a plurality of conductive nanoparticles disposed over the surface; applying a first mixture comprising a SC1 solution, a DI water and O3 to the photomask substrate to remove the conductive nanoparticles; and applying a DI water to rinse the photomask substrate. A removal rate of the conductive nanoparticles is greater than approximately 90%.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: September 13, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Hao-Ming Chang, Chia-Shih Lin
  • Patent number: 11435662
    Abstract: In the present invention, an etching stopper film (2), a light-blocking film (3) comprising a material containing one or more elements selected from among silicon and tantalum, and a hard mask film (4) are laminated in that order on a translucent substrate (1). The etching stopper film is made of a material containing chromium, oxygen and carbon, the chromium content is 50 atom % or more, the maximum peak in a N1s narrow spectrum obtained by means of analysis using X-Ray photoelectron spectroscopy is below the detection limit, and a Cr2p narrow spectrum obtained by means of analysis using X-Ray photoelectron spectroscopy has a maximum peak at a bond energy of 574 eV or less.
    Type: Grant
    Filed: August 2, 2021
    Date of Patent: September 6, 2022
    Assignee: HOYA CORPORATION
    Inventors: Ryo Ohkubo, Hiroaki Shishido, Takashi Uchida
  • Patent number: 11435660
    Abstract: A method of fabricating a photomask includes selectively exposing portions of a photomask blank to radiation to change an optical property of the portions of the photomask blank exposed to the radiation, thereby forming a pattern of exposed portions of the photomask blank and unexposed portions of the photomask blank. The pattern corresponds to a pattern of semiconductor device features.
    Type: Grant
    Filed: April 30, 2018
    Date of Patent: September 6, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Chang Lee, Ping-Hsun Lin, Yen-Cheng Ho, Chih-Cheng Lin, Chia-Jen Chen
  • Patent number: 11435661
    Abstract: A blankmask for EUV includes a substrate, a reflection film that is stacked on the substrate; and an absorbing film that is stacked on the reflection film. The reflection film includes at least one Mo/Si layer that includes a Mo layer and a Si layer, and at least one Ru/Si layer that includes a Ru layer and a Si layer. Interdiffusion between the respective layers forming the reflection film is suppressed in a blankmask for EUV having a reflection film. Accordingly, the reflectance of the blankmask is improved, and the decrease in reflectance due to use after the manufacturing is prevented, thereby extending the life of the photomask.
    Type: Grant
    Filed: November 18, 2020
    Date of Patent: September 6, 2022
    Assignee: S&S TECH Co., Ltd.
    Inventors: Chul-Kyu Yang, Gil-Woo Kong
  • Patent number: 11430819
    Abstract: The present invention provides an array substrate and a manufacturing method thereof. The manufacturing method of the array substrate adopts a multi-stage mask to expose and develop, so that a thickness of a remaining photoresist layer in a channel region corresponding to a display region is same as a thickness of a remaining photoresist layer in a channel region corresponding to a GOA region. Therefore, the two channel regions can be completely etched to prevent short-circuiting, and make up for defects of different action efficiency of developers caused by different densities of thin film transistors in the display region and the GOA region.
    Type: Grant
    Filed: April 24, 2020
    Date of Patent: August 30, 2022
    Assignee: TCL China Star Optoelectronics Technology Co., Ltd.
    Inventor: Weina Yong
  • Patent number: 11422456
    Abstract: A phase shift mask blank has a transparent substrate and a phase shift film formed on the transparent substrate. The phase shift film has a phase difference of 160 to 200° and a transmittance of 3 to 15% at exposure wavelength of 200 nm or less and includes a lower layer and an upper layer in order from the transparent substrate side. The upper layer contains transition metal, silicon, nitrogen and/or oxygen, or silicon, nitrogen and/or oxygen. The lower layer contains chromium, silicon, nitrogen and/or oxygen, and the content of silicon is 3% or more to less than 15% for the sum of chromium and silicon in the lower layer. The ratio of oxygen content to the total content of chromium and silicon is less than 1.7, and etching selectivity of the upper layer is 10 or more compared to the lower layer in fluorine-based dry etching.
    Type: Grant
    Filed: August 31, 2021
    Date of Patent: August 23, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Takuro Kosaka, Naoki Matsuhashi, Shohei Mimura
  • Patent number: 11422457
    Abstract: A pellicle characterized by having an amount of released aqueous gas of 1×10?3 Pa·L/s or less per pellicle, an amount of released hydrocarbon-based gas of 1×10?5 Pa·L/s or less per pellicle in a range of measured mass number of 45 to 100 amu, and an amount of released hydrocarbon-based gas of 4×10?7 Pa·L/s or less per pellicle in a range of measured mass number of 101 to 200 amu, under vacuum after the pellicle has been left to stand for 10 minutes in an atmosphere of 23° C. and 1×10?3 Pa or less.
    Type: Grant
    Filed: May 27, 2021
    Date of Patent: August 23, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11422466
    Abstract: A method of making a semiconductor device includes forming at least one fiducial mark on a photomask outside of a pattern region of the photomask, and the at least one fiducial mark includes identifying information for the photomask. The method includes defining a pattern including a plurality of sub-patterns on the photomask in the pattern region based on the identifying information. The defining of the pattern includes defining a first sub-pattern of the plurality of sub-patterns having a first spacing from a second sub-pattern of the plurality of sub-patterns, wherein the first spacing is different from a second spacing between the second sub-pattern and a third sub-pattern of the plurality of sub-patterns, or rotating the first sub-pattern about an axis perpendicular to a top surface of the photomask relative to the second sub-pattern. The method includes transferring the pattern from the photomask to a wafer.
    Type: Grant
    Filed: June 1, 2021
    Date of Patent: August 23, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsin-Chang Lee, Ping-Hsun Lin, Chih-Cheng Lin, Chia-Jen Chen
  • Patent number: 11415878
    Abstract: A photomask assembly may be formed such that stress relief trenches are formed in a pellicle frame of the photomask assembly. The stress relief trenches may reduce or prevent damage to a pellicle that may otherwise result from deformation of the pellicle. The stress relief trenches may be formed in areas of the pellicle frame to allow the pellicle frame to deform with the pellicle, thereby reducing the amount damage to the pellicle caused by the pellicle frame.
    Type: Grant
    Filed: September 15, 2020
    Date of Patent: August 16, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuo-Hao Lee, You-Cheng Jhang, Han-Zong Pan, Jui-Chun Weng, Chiu-Hua Chung, Sheng-Yuan Lin, Hsin-Yu Chen
  • Patent number: 11415890
    Abstract: A method for mask data synthesis and mask making includes calibrating an optical proximity correction (OPC) model by adjusting a plurality of parameters including a first parameter and a second parameter, wherein the first parameter indicates a long-range effect caused by an electron-beam lithography tool for making a mask used to manufacture a structure, and the second parameter indicates a geometric feature of a structure or a manufacturing process to make the structure, generating a device layout, calculating a first grid pattern density map of the device layout, generating a long-range correction map, at least based on the calibrated OPC model and the first grid pattern density map of the device layout, and performing an OPC to generate a corrected mask layout, at least based on the generated long-range correction map and the calibrated OPC model.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: August 16, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsu-Ting Huang, Shih-Hsiang Lo, Ru-Gun Liu
  • Patent number: 11415874
    Abstract: A reflective mask blank including a substrate, and a multilayer reflection film for EUV light reflection, a protection film, and an absorber film for EUV light absorption formed on one main surface of the substrate in this order from the substrate side, and a conductive film formed on another main surface of the substrate, a coordinate reference mark is formed on the other main surface side.
    Type: Grant
    Filed: August 26, 2020
    Date of Patent: August 16, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Tsuneo Terasawa, Hideo Kaneko, Yukio Inazuki, Takuro Kosaka
  • Patent number: 11415876
    Abstract: The present disclosure relates to a fabrication method of a photomask. The method of fabricating a photomask provides for a layout of patterns to be designed. The layout of patterns may be formed on a wafer on which chips are formed. The layout of patterns are corrected to provide a layout of a photoresist pattern serving as an etching mask for forming the patterns on the wafer while generating a flare map of the patterns. An optical proximity correction (OPC) may be performed at a chip level on the corrected layout of patterns to perform a secondary correction of the layout of patterns. A second OPC may be performed at a level of a shot which includes a plurality of ones of the chips by reflecting the flare map on the second corrected layout of patterns to a third corrected layout of patterns.
    Type: Grant
    Filed: September 24, 2020
    Date of Patent: August 16, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kangmin Jung, Sangwook Park, Youngdeok Kwon, Myungsoo Noh
  • Patent number: 11409193
    Abstract: A reticle for an apparatus for EUV exposure and a method of manufacturing a reticle, the reticle including a substrate including an edge region and a main region; a multi-layer structure on the main region and the edge region, a sidewall of the multi-layer structure overlying the edge region; a capping layer covering an upper surface and the sidewall of the multi-layer structure and at least a portion of the edge region of the substrate; and an absorber layer on the capping layer, the absorber layer covering an entire upper surface of the capping layer on the edge region of the substrate, wherein a stacked structure of the capping layer and the absorber layer is on an upper surface of the edge region of the substrate, and a sidewall of the stacked structure of the capping layer and the absorber layer is perpendicular to an upper surface of the substrate.
    Type: Grant
    Filed: September 22, 2020
    Date of Patent: August 9, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Mankyu Kang, Hoon Kim, Jongkeun Oh, Minho Kim, Heebom Kim
  • Patent number: 11410852
    Abstract: A method of plasma etching includes receiving, by a plasma processing apparatus, a substrate into a processing chamber of the plasma processing apparatus. The substrate includes an etchable layer and a first mask layer overlying the etchable layer. The first mask layer includes a plurality of openings vertically aligned with exposed regions of the etchable layer. The method further includes forming, in the processing chamber, a protective layer over the first mask layer and the exposed regions and etching, in the processing chamber, the protective layer and the exposed regions to remove the protective layer and form recesses in the etchable layer.
    Type: Grant
    Filed: November 22, 2019
    Date of Patent: August 9, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Katie Lutker-Lee, Angelique Raley
  • Patent number: 11402747
    Abstract: Examples of a multiple-mask multiple-exposure lithographic technique and suitable masks are provided herein. In some examples, a photomask includes a die area and a stitching region disposed adjacent to the die area and along a boundary of the photomask. The stitching region includes a mask feature for forming an integrated circuit feature and an alignment mark for in-chip overlay measurement.
    Type: Grant
    Filed: May 3, 2021
    Date of Patent: August 2, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Peter Yu, Chih-Tung Hsu, Kevin Wang, Chih-Chia Hu, Roger Chen
  • Patent number: 11402743
    Abstract: A photolithographic mask assembly according to the present disclosure accompanies a photolithographic mask. The photolithographic mask includes a capping layer over a substrate and an absorber layer disposed over the capping layer. The absorber layer includes a first main feature area, a second main feature area, and a venting feature area disposed between the first main feature area and the second main feature area. The venting feature area includes a plurality of venting features.
    Type: Grant
    Filed: August 31, 2020
    Date of Patent: August 2, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Ta Lu, Chih-Chiang Tu, Cheng-Ming Lin, Ching-Yueh Chen, Wei-Chung Hu, Ting-Chang Hsu, Yu-Tung Chen
  • Patent number: 11402649
    Abstract: Optical grating components and methods of forming are provided. In some embodiments, a method includes providing an optically transparent substrate, and forming an optical grating layer on the substrate. The method includes forming an optical grating in the optical grating layer, wherein the optical grating comprises a plurality of angled components, disposed at a non-zero angle of inclination with respect to a perpendicular to a plane of the substrate. A first sidewall of the optical grating may have a first angle, and a second sidewall of the grating has a second angle different than the first angle. Modifying process parameters, including selectivity and beam angle spread, has an effect of changing a shape or dimension of the plurality of angled components.
    Type: Grant
    Filed: May 8, 2020
    Date of Patent: August 2, 2022
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Morgan Evans, Rutger Meyer Timmerman Thijssen, Joseph Olson, Peter Kurunczi
  • Patent number: 11397078
    Abstract: A method of evaluating a thickness of a film on a substrate includes detecting atomic force responses of the film to exposure of electromagnetic radiation in the infrared portion of the electromagnetic spectrum. The use of atomic force microscopy to evaluate thicknesses of thin films avoids underlayer noise commonly encountered when optical metrology techniques are utilized to evaluate film thicknesses. Such underlayer noise adversely impacts the accuracy of the thickness evaluation.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: July 26, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chih Hung Chen, Kei-Wei Chen, Te-Ming Kung
  • Patent number: 11397379
    Abstract: The present invention is to provide a pellicle frame characterized by including a metal or alloy having a linear expansion coefficient of 10×10?6 (1/K) or less and further a density of 4.6 g/cm3 or less, and a pellicle characterized by including the pellicle frame as an element.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: July 26, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11392023
    Abstract: A method of manufacturing a semiconductor device includes forming a lower structure including first repetitive patterns, and forming an upper structure including forming second repetitive patterns to correspond to each of the first repetitive patterns on the lower structure, and the forming second repetitive patterns includes preparing a design layout for the second repetitive patterns, forming a first correction layout including corrected second repetitive patterns by performing optical proximity correction (OPC) on the design layout, forming a second correction layout by performing position correction on the first correction layout to move a position of the corrected second repetitive patterns to correspond to a changed position of the first repetitive patterns according to physical deformation of the lower structure, manufacturing a mask using the second correction layout, and patterning a photoresist layer using the mask.
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: July 19, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kyungjae Park, Moojoon Shin, Jongsu Park
  • Patent number: 11392022
    Abstract: A method of forming an extreme ultraviolet (EUV) mask includes forming a multilayer Mo/Si stack comprising alternating stacked Mo and Si layers over a mask substrate; forming a ruthenium capping layer over the multilayer Mo/Si stack; doping the ruthenium capping layer with a halogen element, a pentavalent element, a hexavalent element or combinations thereof; forming an absorber layer over the ruthenium capping layer; and etching the absorber layer to form a pattern in the absorber layer.
    Type: Grant
    Filed: June 12, 2020
    Date of Patent: July 19, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Tsung Shih, Yu-Hsun Wu, Bo-Tsun Liu, Tsung-Chuan Lee
  • Patent number: 11391960
    Abstract: A method of fabricating a shadow mask includes depositing a chrome etch mask layer on a substrate. The substrate includes a silicon handle wafer, a buried oxide layer, a single crystal silicon layer, and a backside oxide layer. The method also includes forming a patterning layer including a pattern on the chrome etch mask layer, etching the chrome etch mask layer using the patterning layer to transfer the pattern in the patterning layer into the chrome etch mask layer, and etching the pattern of the chrome etch mask layer into the single crystal silicon layer. The method further includes patterning the backside oxide layer, etching the silicon handle wafer using the patterned backside oxide layer, removing the buried oxide layer, and removing remaining portions of the patterned chrome etch mask layer and the patterning layer.
    Type: Grant
    Filed: July 7, 2020
    Date of Patent: July 19, 2022
    Assignee: Magic Leap, Inc.
    Inventors: Shuqiang Yang, Vikramjit Singh, Kang Luo, Nai-Wen Pi, Frank Y. Xu
  • Patent number: 11392033
    Abstract: A resist composition including a resin component whose solubility in a developing solution is changed due to the action of the acid, in which the resin component has a constitutional unit derived from a compound represented by Formula (a0-1) and a constitutional unit containing an acid decomposable group whose polarity is increased due to the action of the acid. In the formula, W represents a polymerizable group-containing group, Ya0 represents a carbon atom, Xa0 represents a group that forms a monocyclic aliphatic hydrocarbon group together with Ya0, some or all hydrogen atoms in the monocyclic aliphatic hydrocarbon group may be substituted with substituents, and Ra00 represents an aromatic hydrocarbon group which may have a substituent.
    Type: Grant
    Filed: December 17, 2018
    Date of Patent: July 19, 2022
    Assignee: TOKYO OHKA KOGYO CO., LTD.
    Inventors: Hitoshi Yamano, Takahiro Kojima, Yoichi Hori, Yasuhiro Yoshii, Masahito Yahagi
  • Patent number: 11392036
    Abstract: Multi-layer photoresists, methods of forming the same, and methods of patterning a target layer using the same are disclosed. In an embodiment, a method includes depositing a reflective film stack over a target layer, the reflective film stack including alternating layers of a first material and a second material, the first material having a higher refractive index than the second material; depositing a photosensitive layer over the reflective film stack; patterning the photosensitive layer to form a first opening exposing the reflective film stack, patterning the photosensitive layer including exposing the photosensitive layer to a patterned energy source, the reflective film stack reflecting at least a portion of the patterned energy source to a backside of the photosensitive layer; patterning the reflective film stack through the first opening to form a second opening exposing the target layer; and patterning the target layer through the second opening.
    Type: Grant
    Filed: June 9, 2020
    Date of Patent: July 19, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Liang-Yi Chang, Tai-Chun Huang, Chi On Chui
  • Patent number: 11385539
    Abstract: Method for compensating at least one defect of a mask blank, wherein the method includes the following steps: (a) obtaining data in respect of a position of the at least one defect of the mask blank; (b) obtaining design data for pattern elements which should be produced on the mask blank; (c) determining whether the at least one defect is arranged relative to a pattern element to be produced in such a way that it has substantially no effect when exposing a wafer using the mask blank that is provided with the pattern element to be produced; and (d) otherwise, displacing the at least one defect on the mask blank in such a way that it has substantially no effect when exposing the wafer using the mask blank that is provided with the pattern element to be produced.
    Type: Grant
    Filed: January 21, 2020
    Date of Patent: July 12, 2022
    Assignee: Carl Zeiss SMS Ltd.
    Inventor: Joachim Welte
  • Patent number: 11385538
    Abstract: In a method of cleaning a photo mask, the photo mask is placed on a support such that a patterned surface faces down, and an adhesive sheet is applied to edges of a backside surface of the photo mask.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: July 12, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsin-Chang Lee, Pei-Cheng Hsu, Hao-Ping Cheng, Ta-Cheng Lien
  • Patent number: 11385536
    Abstract: An extreme ultraviolet reflective element comprising a multilayer stack of absorber layers on a multilayer stack of reflective layers. The element comprises spacing layer and phase tuning layer. Methods of manufacturing extreme ultraviolet reflective elements and lithography systems including extreme ultraviolet reflective elements are also described.
    Type: Grant
    Filed: July 31, 2020
    Date of Patent: July 12, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Wen Xiao, Vibhu Jindal
  • Patent number: 11385537
    Abstract: A phase shift mask includes a transparent substrate and light-shielding portions. The light-shielding portions include a first light-shielding portion, and over one side of it, a first compensating light-shielding portion, which has a first distance to the first light-shielding portion and a first width smaller than a resolution of an exposing machine utilized for an exposure process using the phase shift mask. The light-shielding portions can further include a second compensating light-shielding portion, having a second distance to another side of the first light-shielding portion and a second width smaller than the resolution of the exposing machine. The first distance and the second distance respectively allow the first and the second compensating light-shielding portion to reduce an exposure at a region corresponding to two sides of the first light-shielding portion during the exposure process. A method manufacturing an electronic component utilizing the phase shift mask is also provided.
    Type: Grant
    Filed: October 26, 2018
    Date of Patent: July 12, 2022
    Assignees: BEIJING BOE DISPLAY TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Xiaoxiang Zhang, Mingxuan Liu, Huibin Guo, Yongzhi Song, Xiaolong Li, Wenqing Xu, Zumou Wu
  • Patent number: 11372323
    Abstract: A phase-shift mask for extreme ultraviolet (EUV) lithography may be provided. The phase-shift mask may include a substrate, a reflection layer on the substrate, and phase-shift patterns including at least one metal nitride on the reflection layer. The at least one metal nitride may include at least one of TaN, TiN, ZrN, HfN, CrN, VN, NbN, MoN, WN, AlN, GaN, ScN, and YN.
    Type: Grant
    Filed: March 10, 2020
    Date of Patent: June 28, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hwanseok Seo, SeongSue Kim, Taehoon Lee, Roman Chalykh
  • Patent number: 11374120
    Abstract: For example, an Electrostatically Formed Nanowire (EFN) may include a source region; at least one drain region; a wire region configured to drive a current between the source and drain regions via a conductive channel; a first lateral-gate area extending along a first surface of the wire region between the source and drain regions; a second lateral-gate area extending along a second surface of the wire region between the source and drain regions; and a sensing area in opening in a backside of a silicon substrate under the wire region and the first and second lateral-gate areas, the sensing area configured to, in reaction to a predefined substance, cause a change in a conductivity of the conductive channel.
    Type: Grant
    Filed: May 10, 2020
    Date of Patent: June 28, 2022
    Assignees: TOWER SEMICONDUCTOR LTD., RAMOT AT TEL AVIV UNIVERSITY LTD.
    Inventors: Zohar Shaked, Yakov Roizin, Menachem Vofsy, Alexey Heiman, Yossi Rosenwaks, Klimentiy Shimanovich, Yhonatan Vaknin
  • Patent number: 11372325
    Abstract: This application discloses a mask and a manufacture method thereof, including: a full shielding area, made of a light shielding material; a semi-transparent area, where there is at least one semi-transparent area and the semi-transparent area allows transmission of partial light, and a main body of the semi-transparent area is made of semi-transparent films, and full shielding structures are arranged in the semi-transparent films.
    Type: Grant
    Filed: October 23, 2018
    Date of Patent: June 28, 2022
    Assignees: HKC CORPORATION LIMITED, CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: En-Tsung Cho
  • Patent number: 11372326
    Abstract: The present disclosure relates to a pellicle that can achieve both a high EUV transmittance and a uniformity in EUV transmittance by including a graphite thin film having a film thickness of 5 nm or more and 30 nm or less and a surface roughness (Sa) of 0.1 nm or more and 500 nm or less.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: June 28, 2022
    Assignees: KANEKA CORPORATION, UNIVERSITY OF HYOGO
    Inventors: Yuki Kawashima, Shuhei Ozaki, Masamitsu Tachibana, Takeo Watanabe, Tetsuo Harada
  • Patent number: 11372322
    Abstract: An extreme ultraviolet (EUV) mask blank is provided. The EUV mask blank includes a substrate having a first surface and a second surface opposed to each other, a reflective layer having first reflective layers and second reflective layers alternately stacked on the first surface of the substrate, a capping layer on the reflective layer, and a hydrogen absorber layer between the reflective layer and the capping layer, the hydrogen absorber layer configured to store hydrogen and being in contact with the capping layer.
    Type: Grant
    Filed: January 6, 2021
    Date of Patent: June 28, 2022
    Inventors: Ho Yeon Kim, Seong Chui Hong, Seong Sue Kim
  • Patent number: 11370939
    Abstract: Smoothness of glass is improved. A polishing slurry (A) contains amorphous carbon and water, and a total amount of the amorphous carbon and the water is equal to or more than 90% of the whole polishing slurry in terms of mass ratio.
    Type: Grant
    Filed: August 28, 2020
    Date of Patent: June 28, 2022
    Assignee: AGC INC.
    Inventor: Tomohiro Shibuya
  • Patent number: 11366380
    Abstract: According to one embodiment, a reflective mask comprises a reflection layer including a first region having a plurality of first patterns, a second region surrounding the first region, and a third region within the second region. The reflection layer includes a stack of alternating first layers and second layers. An absorber film covers the second region and has a second pattern that includes an opening exposing a portion of the third region. In some examples, the third region can include a plurality of third patterns therein.
    Type: Grant
    Filed: August 26, 2020
    Date of Patent: June 21, 2022
    Assignee: KIOXIA CORPORATION
    Inventor: Eishi Shiobara
  • Patent number: 11366379
    Abstract: Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer embedded in the multilayer stack of reflective layers.
    Type: Grant
    Filed: May 19, 2020
    Date of Patent: June 21, 2022
    Assignee: Applied Materials Inc.
    Inventors: Wen Xiao, Vibhu Jindal
  • Patent number: 11368636
    Abstract: According to an embodiment of the disclosure, an electronic device includes a light source unit to emit infrared light having a specified wavelength band, a camera module, a memory, and a processor, the camera module includes a lens assembly including a first lens having a property to at least partially absorb visible light and one or more second lenses to refract light, which is output through the first lens, at a specified angle, a filter to pass through light, which has the specified wavelength band, of the light output through the lens assembly, and an image sensor to sense light, which is output through the lens assembly and the filter, to obtain an image, and the processor is configured to receive an input of a user to photograph an external object, emit the infrared light using the light source unit based on the input of the user, and obtain, using the camera module, an image corresponding to light, which is reflected from the external object, of the emitted infrared light.
    Type: Grant
    Filed: February 25, 2019
    Date of Patent: June 21, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Jeongyeol Lee
  • Patent number: 11360376
    Abstract: A photolithography mask includes a substrate, a reflective multilayer structure over the substrate, an adhesion layer over the reflective multilayer structure, a capping layer over the adhesion layer, and a patterned absorber layer over the capping layer. The capping layer includes a non-crystalline conductive material.
    Type: Grant
    Filed: June 1, 2020
    Date of Patent: June 14, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11360383
    Abstract: A method performed by a computing system includes receiving a layout pattern, receiving a target pattern associated with the layout pattern, receiving a set of constraints related to the target pattern, simulating a first contour associated with the layout pattern, determining a first difference between the first contour and the target pattern, simulating a second contour associated with a modified layout pattern, and determining a second difference between the second contour and a modified target pattern. The modified target pattern is different than the target pattern and within the constraints. The method further includes fabricating a mask having the final layout pattern.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: June 14, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Daniel Beylkin, Sagar Vinodbhai Trivedi
  • Patent number: 11360384
    Abstract: A method includes placing a photomask having a contamination on a surface thereof in a plasma processing chamber. The contaminated photomask is plasma processed in the plasma processing chamber to remove the contamination from the surface. The plasma includes oxygen plasma or hydrogen plasma.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: June 14, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Fu Yang, Pei-Cheng Hsu, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11360377
    Abstract: The disclosure relates to a half-toned attenuated shift blankmask for extreme ultraviolet lithography including: a reflective film, a capping film, a first etch stop film, a phase shift film, a second etch stop film, and an absorbing film that are sequentially provided on a transparent substrate. The phase shift film has a high reflectance of 20% or more, so characteristics of NILS and MEEF are improved during wafer printing.
    Type: Grant
    Filed: October 23, 2020
    Date of Patent: June 14, 2022
    Assignee: S&S TECH Co., Ltd.
    Inventors: Cheol Shin, Jong-Hwa Lee, See-Jun Jeong, Chul-Kyu Yang
  • Patent number: 11353787
    Abstract: The present application discloses a photomask for manufacturing an active switch and a method for manufacturing a display panel. The photomask includes a light shielding region, a semi-transmissive region, a light transmitting region and a hollowed-out region, where the light shielding region corresponds to a metal layer of the active switch and is configured to be lighttight; the semi-transmissive region corresponds to a channel region of the active switch, and is configured to be partially transmissive; the light transmitting region is a photomask region other than the light shielding region and the semi-transmissive region and is configured to be completely transmissive; and the hollowed-out region is located within the semi-transmissive region and is configured to be completely transmissive.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: June 7, 2022
    Assignee: HKC CORPORATION LIMITED
    Inventor: Chuan Wu
  • Patent number: 11340525
    Abstract: A pellicle comprises a stress-controlled metal layer. The stress in said metal layer may be between about 500-50 MPa. A method of manufacturing a pellicle comprising a metal layer includes deposing said metal layer by plasma physical vapor deposition. Process parameters are selected so as to produce a desired stress value in said metal layer, such as between about 500-50 MPa.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: May 24, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po Hsuan Li, Yu-Ting Lin, Yun-Yue Lin, Huai-Tei Yang