Radiation Mask Patents (Class 430/5)
  • Patent number: 11247406
    Abstract: A three-dimensional object includes an outer-layer member and an inner structural member. The outer-layer member is a layer to constitute the surface of the three-dimensional object, and includes an inner space. The outer-layer member is made up of a plurality of divided outer-layer pieces formed of build material, which is functional ink ejected from a droplet ejection head and cured. The inner structural member is disposed in the inner space of the outer-layer member and configured to support the outer-layer member.
    Type: Grant
    Filed: October 19, 2017
    Date of Patent: February 15, 2022
    Assignee: MIMAKI ENGINEERING CO., LTD.
    Inventors: Yoshihiro Tanaka, Kosuke Kobayashi, Yoshikazu Furukawa
  • Patent number: 11249384
    Abstract: A method of manufacturing an extreme ultraviolet (EUV) lithography mask includes forming an image pattern in an absorption layer of EUV mask blank. The EUV mask blank includes: a multilayer stack including alternating molybdenum (Mo) and silicon (Si) layers disposed over a first surface of a mask substrate, a capping layer disposed over the multilayer stack, and an absorption layer disposed over the capping layer. A border region surrounds the image pattern having a trench wherein the absorption layer, the capping layer and at least a portion of the multilayer stack are etched. Concave sidewalls are formed in the border region or an inter-diffused portion is formed in the multilayer stack of the trench.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: February 15, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Cheng Hsu, Chi-Ping Wen, Tzu Yi Wang, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11243469
    Abstract: A substrate processing apparatus includes a development processor and a reversal film former, and processes a substrate having one surface on which a resist film made of a photosensitive material is formed. The development processor forms a resist pattern on the one surface of the substrate by performing development processing on a resist film using a development liquid. A reversal film former forms a reversal film having etch resistance higher than that of the resist film on the one surface of the substrate to cover the resist pattern while regulating a temperature of the substrate in a certain range after the development processing is performed by the development processor.
    Type: Grant
    Filed: April 3, 2017
    Date of Patent: February 8, 2022
    Inventors: Masahiko Harumoto, Koji Kaneyama, Yuji Tanaka, Masaya Asai
  • Patent number: 11243461
    Abstract: A reflective mask includes a substrate, a light absorbing layer over the substrate, a reflective layer over the light absorbing layer, and an absorption pattern over the reflective layer. The reflective layer covers a first portion of the light absorbing layer, and a second portion of the light absorbing layer is free from coverage by the reflective layer.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: February 8, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Tsiao-Chen Wu, Pei-Cheng Hsu
  • Patent number: 11237476
    Abstract: The present invention is to provide a pellicle frame in a frame shape, having an upper end face to arrange a pellicle film thereon and a lower end face to face a photomask, and which is characterized by being provided with a notched part from an outer side face toward an inner side face of the upper end face, and to provide a pellicle characterized by including the pellicle frame as a component.
    Type: Grant
    Filed: January 6, 2020
    Date of Patent: February 1, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11237474
    Abstract: The present invention is to provide a pellicle characterized by including a pellicle film and a pellicle frame, in which the pellicle film is stretched on the pellicle frame, and the pellicle film is an annealed pellicle film, and to provide a method for producing a pellicle by stretching a pellicle film on a pellicle frame, including the step of annealing the pellicle film alone before stretching the pellicle film on the pellicle frame, annealing the pellicle after stretching the pellicle film on the pellicle frame, or annealing the pellicle film alone and the pellicle both before and after stretching the pellicle film on the pellicle frame.
    Type: Grant
    Filed: December 2, 2019
    Date of Patent: February 1, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Akinori Nishimura
  • Patent number: 11237473
    Abstract: A physical vapor deposition (PVD) chamber and a method of operation thereof are disclosed. Chambers and methods are described that provide a chamber comprising an upper shield with two holes that are positioned to permit alternate sputtering from two targets. A process for improving reflectivity from a multilayer stack is also disclosed.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: February 1, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Vibhu Jindal, Wen Xiao, Sanjay Bhat
  • Patent number: 11237475
    Abstract: A pellicle having a metal oxysilicide layer. A pellicle having a molybdenum layer, a ruthenium layer and a silicon oxynitride layer, wherein the molybdenum layer is disposed between the ruthenium layer and the silicon oxynitride layer. A method of manufacturing a pellicle for a lithographic apparatus, the method including providing a metal oxysilicide layer. A lithographic assembly including a pellicle having a metal oxysilicide layer. The use of a pellicle having a metal oxysilicide layer in a lithographic apparatus.
    Type: Grant
    Filed: November 6, 2018
    Date of Patent: February 1, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Zomer Silvester Houweling, Chaitanya Krishna Ande, Dennis De Graaf, Thijs Kater, Michael Alfred Josephus Kuijken, Mahdiar Valefi
  • Patent number: 11237472
    Abstract: Provided are a reflective mask blank and a reflective mask, which are able to reduce the shadowing effects of EUV lithography and form a fine pattern. As a result, a semiconductor device can be stably manufactured with high transfer accuracy. The reflective mask blank comprises a multilayer reflective film and an absorber film in that order on a substrate, and the absorber film comprises a material comprising an amorphous metal comprising at least one or more elements among cobalt (Co) and nickel (Ni).
    Type: Grant
    Filed: March 1, 2018
    Date of Patent: February 1, 2022
    Assignee: HOYA CORPORATION
    Inventors: Yohei Ikebe, Junichi Horikawa, Takahiro Onoue, Mizuki Kataoka
  • Patent number: 11231646
    Abstract: A pellicle assembly is disclosed that has a pellicle frame defining a surface onto which a pellicle is to be attached. The pellicle assembly includes one or more three-dimensional expansion structures that allow the pellicle to expand under stress. There is also disclosed a pellicle assembly for a patterning device, the pellicle assembly including one or more actuators for moving the pellicle assembly towards and way from the patterning device.
    Type: Grant
    Filed: June 8, 2018
    Date of Patent: January 25, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: David Ferdinand Vles, Chaitanya Krishna Ande, Antonius Franciscus Johannes De Groot, Adrianus Johannes Maria Giesbers, Johannes Joseph Janssen, Paul Janssen, Johan Hendrik Klootwijk, Peter Simon Antonius Knapen, Evgenia Kurganova, Marcel Peter Meijer, Wouter Rogier Meijerink, Maxim Aleksandrovich Nasalevich, Arnoud Willem Notenboom, Raymond Olsman, Hrishikesh Patel, Mária Péter, Gerrit Van Den Bosch, Wilhelmus Theodorus Anthonius Johannes Van Den Einden, Willem Joan Van Der Zande, Pieter-Jan Van Zwol, Johannes Petrus Martinus Bernardus Vermeulen, Willem-Pieter Voorthuijzen, Hendrikus Jan Wondergem, Aleksandar Nikolov Zdravkov
  • Patent number: 11231645
    Abstract: A mask blank, which is capable of being formed with high transfer accuracy when a hard mask film pattern is used as a mask, and even when the mask blank includes a chromium-based light shielding film. A light-semitransmissive film, a light shielding film, and a hard mask film are laminated in the stated order on a transparent substrate. The light-semitransmissive film contains silicon, and the hard mask film contains any one or both of silicon and tantalum. The light shielding film has a laminate structure of a lower layer and an upper layer, and contains chromium. The upper layer has a content of chromium of 65 at % or more, and a content of oxygen of less than 20 at %, and the lower layer has a content of chromium of less than 60 at %, and a content of oxygen of 20 at % or more.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: January 25, 2022
    Assignee: HOYA CORPORATION
    Inventors: Hiroaki Shishido, Osamu Nozawa
  • Patent number: 11231647
    Abstract: A pellicle and a method for manufacturing a pellicle that can improve the production yield ratio are provided. A method for manufacturing a pellicle comprises a step to prepare a supporting member containing Si, and a step to form a pellicle film on a top surface of the supporting member. The step to form the pellicle film includes: a step to form a SiC film with a first average carbon concentration on the top surface of the supporting member by carbonizing Si, and a step to form a SiC film with a second average carbon concentration different from the first average carbon concentration on the top surface of the SiC film. The method for manufacturing a pellicle further comprises a step to exposes at least a part of the reverse side of the SiC film by wet etching.
    Type: Grant
    Filed: August 1, 2018
    Date of Patent: January 25, 2022
    Assignee: Air Water Inc.
    Inventors: Hidehiko Oku, Ichiro Hide
  • Patent number: 11226562
    Abstract: A method of manufacturing a semiconductor structure includes providing a mask including a first substrate; a first mask layer disposed over the first substrate, including a plurality of first recesses extended through the first mask layer; a second mask layer disposed over the first mask layer and including a plurality of second recesses extended through the second mask layer; providing a second substrate including a photoresist disposed over the second substrate; and projecting a predetermined electromagnetic radiation through the mask towards the photoresist, wherein the first mask layer is at least partially transparent to the predetermined electromagnetic radiation, the second mask layer is opaque to the predetermined electromagnetic radiation, and at least a portion of the second mask layer is disposed between two of the plurality of second recesses.
    Type: Grant
    Filed: November 20, 2018
    Date of Patent: January 18, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Yung-Yao Lee, Yi-Ping Hsieh
  • Patent number: 11221554
    Abstract: An extreme ultra-violet (EUV) mask and method for fabricating the same is disclosed. For example, the EUV mask includes a substrate, a multi-layered mirror layer formed on the substrate, a metal capping layer formed on the multi-layered mirror layer, and a multi-layered absorber layer formed on the metal capping layer. The multi-layered absorber layer includes features etched into the multi-layered absorber layer to define structures on a semiconductor device.
    Type: Grant
    Filed: January 17, 2020
    Date of Patent: January 11, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Cheng Hsu, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11221555
    Abstract: A mask plate, a method for manufacturing a patterned film layer and a manufacturing method of a thin film transistor are provided by the embodiments of the present disclosure. The mask plate includes: a first pattern and a second pattern; the first pattern includes a first sidewall, a second sidewall, a connecting portion connecting the first sidewall and the second sidewall, and an extension portion on a side of the connecting portion away from the first sidewall; the second pattern is between the first sidewall and the second sidewall; a slit is between the first pattern and the second pattern, and the slit is configured for diffraction. The positive photoresist is used, the extension portion of the mask plate makes that the pattern of the photoresist formed by the mask plate with the extension portion has a region corresponding to the extension portion and the “bolt effect” is avoided.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: January 11, 2022
    Assignees: BOE Technology Group Co., Ltd., Hefei Xinsheng Optoelectronics Technology Co., Ltd.
    Inventors: Tao Jiang, Botao Song, Ling Han, Xinyang Tang
  • Patent number: 11215918
    Abstract: The present disclosure describes a method of patterning a semiconductor wafer using extreme ultraviolet lithography (EUVL). The method includes receiving an EUVL mask that includes a substrate having a low temperature expansion material, a reflective multilayer over the substrate, a capping layer over the reflective multilayer, and an absorber layer over the capping layer. The method further includes patterning the absorber layer to form a trench on the EUVL mask, wherein the trench has a first width above a target width. The method further includes treating the EUVL mask with oxygen plasma to reduce the trench to a second width, wherein the second width is below the target width. The method may also include treating the EUVL mask with nitrogen plasma to protect the capping layer, wherein the treating of the EUVL mask with the nitrogen plasma expands the trench to a third width at the target width.
    Type: Grant
    Filed: January 29, 2020
    Date of Patent: January 4, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Cheng Hsu, Chun-Fu Yang, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11209727
    Abstract: Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer including an alloy of tantalum and copper on the capping layer.
    Type: Grant
    Filed: October 24, 2019
    Date of Patent: December 28, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shuwei Liu, Vibhu Jindal, Abbas Rastegar
  • Patent number: 11211572
    Abstract: A mask plate and a manufacturing method thereof, a flexible substrate stripping apparatus and a flexible substrate stripping method are provided. The mask plate includes a laser-transmitting substrate and a patterned laser-shielding layer located on the laser transmitting substrate.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: December 28, 2021
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Changcheng Ju, Jing Yu
  • Patent number: 11204545
    Abstract: A reflective mask includes a substrate, a reflective multilayer disposed on the substrate, a capping layer disposed on the reflective multilayer, and an absorber layer disposed on the capping layer. The absorber layer includes one or more alternating pairs of a first Cr based layer and a second Cr based layer different from the first Cr based layer.
    Type: Grant
    Filed: January 16, 2020
    Date of Patent: December 21, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Pei-Cheng Hsu, Ching-Huang Chen, Hung-Yi Tsai, Ming-Wei Chen, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11204546
    Abstract: A masking process and a mask set. The masking process includes: aligning a first mask with a stage carrying a substrate to be patterned; forming a first layer structure and a first overlay correction pattern on the substrate to be patterned by using the first mask; correcting with an image sensor and the first overlay correction pattern; aligning a second mask with the stage; forming a second layer structure and a second overlay correction pattern on the substrate to be patterned by using the second mask; and correcting with the image sensor and the second overlay correction pattern.
    Type: Grant
    Filed: April 25, 2019
    Date of Patent: December 21, 2021
    Assignees: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Zhiheng Liu, Hao Dong
  • Patent number: 11204547
    Abstract: A support frame for pellicle is provided including a first support frame part, a second support frame part, and a filter, wherein the filter has a flat plate-shaped frame shape and is sandwiched by the first support frame part and the second support frame part, the first support frame part includes a first body part having a flat plate-shaped frame shape and a first engaging portion protruded from the first body part to a thickness direction of the support frame for pellicle, and the second support frame part includes a second body part having a flat plate-shaped frame shape and a second engaging portion of the second body part engaging with the first engaging portion being arranged in a concave part provided in the thickness direction of the support frame for pellicle.
    Type: Grant
    Filed: November 20, 2020
    Date of Patent: December 21, 2021
    Assignee: MITSUI CHEMICALS, INC.
    Inventors: Akira Ishikawa, Atsushi Okubo, Yosuke Ono, Kazuo Kohmura
  • Patent number: 11205595
    Abstract: A method of fabricating a semiconductor device includes: (i) placing, on a first layout, first patterns that extend parallel to each other in a first direction and are spaced apart from each other in a second direction intersecting the first direction, (ii) extracting a low-density region on the first layout, (iii) defining an enclosure region that surrounds the first patterns, (iv) placing dot patterns on a second layout, (v) extracting, from the dot patterns, first dot patterns that overlap the low-density region and do not overlap the enclosure region, (vi) placing the extracted first dot patterns on the first layout, (vii) allowing the first dot patterns to extend in the first direction to form second patterns, and (viii) using the first and second patterns to respectively form first and second active patterns on a substrate.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: December 21, 2021
    Inventors: Seong-Yul Park, Myoung-Ho Kang, Hyungkwan Park
  • Patent number: 11199768
    Abstract: The present invention is to provide a pellicle frame characterized by including a metal or alloy having a linear expansion coefficient of 10×10?6 (1/K) or less and further a density of 4.6 g/cm3 or less, and a pellicle characterized by including the pellicle frame as an element.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: December 14, 2021
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Yu Yanase
  • Patent number: 11199780
    Abstract: A reflective optical element (1) for reflecting light having at least one wavelength in an EUV wavelength range has an optically effective region configured for reflecting the light incident on a surface (2) of the optically effective region. The reflective optical element (1) has an edge (4) forming at least part of a boundary of an edge-free surface (3) of the reflective optical element (1), wherein the edge-free surface (3) includes the surface (2) of the optically effective region. The edge (4) has a chamfer and/or a rounding. Also disclosed is a method for adapting a geometry of at least one surface region of a component of an optical arrangement, for example of a reflective optical element (1).
    Type: Grant
    Filed: February 3, 2020
    Date of Patent: December 14, 2021
    Assignee: CARL ZEISS SMT GMBH
    Inventor: Udo Dinger
  • Patent number: 11194244
    Abstract: Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer on the capping layer, the absorber layer made from amorphous tantalum nitride formed by non-reactive sputtering.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: December 7, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shuwei Liu, Chang Ke, Wen Xiao, Vibhu Jindal
  • Patent number: 11195980
    Abstract: The present invention relates to a transparent sealing member and an optical component. This transparent sealing member (10) is used in a package (20) for accommodating at least one optical element (14), and is bonded to a mounting substrate (16) having the optical element (14) mounted thereto, by a resin adhesive (50). The transparent sealing member (10) is provided with a plurality of particles (32) which are affixed to a surface (30a) to be bonded to the mounting substrate (16).
    Type: Grant
    Filed: February 20, 2020
    Date of Patent: December 7, 2021
    Assignee: NGK Insulators, Ltd.
    Inventors: Yoshio Kikuchi, Hiroyuki Shibata
  • Patent number: 11194245
    Abstract: The present disclosure provides a method of manufacturing a phase-shifting photomask, which includes following steps. A mask pattern provides on a transparent substrate and includes a first layer photomask pattern including a phase-shifting layer and a light shielding layer sequentially stacked on the transparent substrate and a second layer photomask pattern stacked on the transparent substrate in sequence. A thermal oxidation process is performed on the second layer photomask pattern to form a thermal oxide layer and a hard-shielding layer pattern exposed a portion of a top surface of the light shielding layer, and the thermal oxide layer covers the hard-shielding layer pattern and the portion of the top surface of the light shielding layer. The thermal oxide layer is removed. A portion of the light shielding layer is removed by using the hard-shielding layer pattern as a mask to form a patterned light shielding layer exposed the phase-shifting layer.
    Type: Grant
    Filed: April 8, 2020
    Date of Patent: December 7, 2021
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventor: Jia-Horng Tsai
  • Patent number: 11194246
    Abstract: Monolithic framed pellicle membrane integrating a structural framing member with a membrane spanning the framing member. The monolithic frame pellicle membrane is suitable as an overlay of a reticle employed in lithography operations of integrated circuit manufacture. A semiconductor-on-insulator (SOI) wafer may be machined from the backside, for example with a bonnet polisher, to form a pellicle framing member by removing a portion of a base semiconductor substrate of the SOI wafer selectively to top semiconductor layer of the SOI wafer, which is retained as a pellicle membrane. In some exemplary embodiments suitable for extreme ultraviolet (EUV) lithography applications, at least the top semiconductor layer of the SOI wafer is a substantially monocrystalline silicon layer.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: December 7, 2021
    Assignee: Intel Corporation
    Inventors: John Magana, Guojing Zhang
  • Patent number: 11187974
    Abstract: A photomask blank and a photomask having favorable wafer transfer characteristics and irradiation resistance. A photomask blank is for fabricating a photomask for an exposure wavelength of 193 nm, the photomask blank comprising: a light-transmissive substrate; a phase shift film formed on the light-transmissive substrate and providing phase shift effects of a light transmittance of at least 30% with respect to exposure light; and a light-shielding film formed on the phase shift film. The phase shift film is constituted by lamination of: a first phase shift film (that uses a silicon nitride-based material, has a refractive index n1 of 2.5 to 2.7, and an extinction coefficient k1 of 0.2 to 0.4; and a second phase shift film that uses a silicon oxynitride-based material, has a refractive index n2 of 1.55 to 2.20, and an extinction coefficient k2 greater than 0 but no greater than 0.1.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: November 30, 2021
    Assignee: TOPPAN PRINTING CO., LTD.
    Inventors: Yoshifumi Sakamoto, Yosuke Kojima, Tatsuya Nagatomo
  • Patent number: 11187972
    Abstract: The present invention provides a reflective mask blank and reflective mask capable of reducing the shadowing effect of EUV lithography and forming a fine pattern. As a result, a semiconductor device can be more stably manufactured with high transfer accuracy. The reflective mask blank comprises a multilayer reflective film, an absorber film and an etching mask film on a substrate in that order, wherein the absorber film is made of a material containing nickel (Ni), and the etching mask film is made of a material containing chromium (Cr) or a material containing silicon (Si).
    Type: Grant
    Filed: October 18, 2017
    Date of Patent: November 30, 2021
    Assignee: HOYA CORPORATION
    Inventors: Yohei Ikebe, Tsutomu Shoki
  • Patent number: 11187973
    Abstract: Techniques and systems for improving wafer contrast by manipulating reflective extreme ultraviolet (EUV) mask absorber are described. Some embodiment disclosed herein provide for EUV absorber material, which transmits some EUV illumination, to suppress the printing of sub-resolution assist features (SRAFs) while making the SRAFs closer in size to the printed feature by thinning the SRAF absorber thickness from the nominal mask absorber thickness in the bright-field mask case. In the dark-field mask case, a layer of absorber material is left in the SRAF trenches to prevent SRAF printing.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: November 30, 2021
    Assignee: Synopsys, Inc.
    Inventors: Lawrence S. Melvin, III, Yudhishthir P. Kandel
  • Patent number: 11171010
    Abstract: Embodiments described herein relate to methods forming optical device structures. One embodiment of the method includes exposing a substrate to ions at an ion angle relative to a surface normal of a surface of the substrate to form an initial depth of a plurality of depths. A patterned mask is disposed over the substrate and includes two or more projections defining exposed portions of the substrate or a device layer disposed on the substrate. Each projection has a trailing edge at a bottom surface contacting the device layer, a leading edge at a top surface of each projection, and a height from the top surface to the device layer. Exposing the substrate to ions at the ion angle is repeated to form at least one subsequent depth of the plurality of depths.
    Type: Grant
    Filed: October 25, 2019
    Date of Patent: November 9, 2021
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ludovic Godet, Rutger Meyer Timmerman Thijssen
  • Patent number: 11169437
    Abstract: An optical proximity correction method includes extracting edges of a layout of a pattern on a mask, including at least one edge of the layout that is a curvilinear edge, and generating an optical image of the pattern by applying an edge filter, which includes an any-angle filter corresponding to an angle of the curvilinear edge, to the extracted edges of the layout. The any-angle filter may be generated using source sector rotation to correspond to the angle of the curvilinear edge.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: November 9, 2021
    Inventors: Sangchul Yeo, Narak Choi
  • Patent number: 11163229
    Abstract: A method for protecting a photomask comprises: (i) providing the photomask, (ii) providing a border, (iii) depositing at least two electrical contacts on the border, (iv) mounting a film comprising carbon nanotubes on the border such that the film comprises a free-standing part, wherein after the mounting and depositing steps, the electrical contacts are in contact with the film, (v) inducing a current through the free-standing part of the film by biasing at least one pair of the electrical contacts, and (vi) mounting the border on at least one side of the photomask with the free-standing part of the film above the photomask.
    Type: Grant
    Filed: November 5, 2019
    Date of Patent: November 2, 2021
    Assignees: IMEC VZW, KATHOLIEKE UNIVERSITEIT LEUVEN, KU LEUVEN R&D
    Inventors: Marina Mariano Juste, Marina Timmermans, Ivan Pollentier, Cedric Huyghebaert, Emily Gallagher
  • Patent number: 11164922
    Abstract: A light-emitting display device includes a pixel defining layer with an opening that exposes a first electrode, a hole injection layer on the first electrode, a lyophilic pattern on the hole injection layer in the opening, a hole transport layer on the lyophilic pattern, a light-emitting layer on the hole transport layer, and a second electrode on the light-emitting layer. The lyophilic pattern includes a first part adjacent to a first sidewall of the opening and a second part adjacent to a second sidewall of the opening. A distance from a top surface of the hole injection layer to an edge of a top surface of the second part corresponds to a first height. A distance from the top surface of the hole injection layer to a top surface of the first part corresponds to a second height. The first height is lower than the second height.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: November 2, 2021
    Assignee: Samsung Display Co., Ltd.
    Inventor: Geun Tak Kim
  • Patent number: 11156912
    Abstract: A method of manufacturing a phase shift mask includes forming a doped silicon nitride layer on a mask substrate and forming an opaque layer on the doped silicon nitride layer. The opaque layer and doped silicon nitride layer are then patterned to expose portions of the mask substrate to form a plurality of mask features comprising the opaque layer disposed on the doped silicon nitride layer. Portions of the opaque layer are then removed from some of the mask features.
    Type: Grant
    Filed: April 27, 2018
    Date of Patent: October 26, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11150550
    Abstract: A reflective mask blank includes, on/above a substrate in the following order from the substrate side a multilayer reflective film which reflects EUV light and an absorber film which absorbs EUV light. The absorber film is a tantalum-based material film containing a tantalum-based material. The absorber film provides a peak derived from the tantalum-based material in an X-ray diffraction pattern, the peak having a peak diffraction angle (2?) of 36.8 degrees or more and a full width at half maximum of 1.5 degrees or more.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: October 19, 2021
    Assignee: AGC INC.
    Inventors: Hiroshi Hanekawa, Tsuyoshi Kakuta, Yoichi Sera, Sadatatsu Ikeda
  • Patent number: 11143955
    Abstract: A mask layout containing a non-Manhattan pattern is received. The received mask layout is processed. An edge of the non-Manhattan pattern is identified. A plurality of two-dimensional kernels is generated based on processed pre-selected mask layout samples. The two-dimensional kernels each have a respective rotational symmetry. The two-dimensional kernels are applied to the edge of the non-Manhattan pattern to obtain a correction field for the non-Manhattan pattern. A thin mask model is applied to the non-Manhattan pattern. The thin mask model contains a binary modeling of the non-Manhattan pattern. A near field of the non-Manhattan pattern is determined by applying the correction field to the non-Manhattan pattern having the thin mask model applied thereon. An optical model is applied to the near field to obtain an aerial image on a wafer. A resist model is applied to the aerial image to obtain a final resist image on the wafer.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: October 12, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Jen Lai, Xin Zhou, Danping Peng
  • Patent number: 11143949
    Abstract: Provided is a photomask blank including, on a substrate, a processing film and a film made of a material containing chromium which is formed to be in contact with the processing film and has a three-layer structure of first, second and third layers, each of which contains chromium, oxygen, and nitrogen, wherein the first layer has a chromium content of 40 atomic % or less, an oxygen content of 50 atomic % or more, a nitrogen content of 10 atomic % or less, and a thickness of 20 nm or more, the second layer has a chromium content of 50 atomic % or more, an oxygen content of 20 atomic % or less, and a nitrogen content of 30 atomic % or more, and the third layer has a chromium content of 40 atomic % or less, an oxygen content of 50 atomic % or more, and a nitrogen content of 10 atomic % or less.
    Type: Grant
    Filed: May 22, 2019
    Date of Patent: October 12, 2021
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Yukio Inazuki, Kouhei Sasamoto
  • Patent number: 11143954
    Abstract: Photomasks and methods of fabricating the photomasks are provided herein. In some examples, a layout for forming an integrated circuit device is received. The layout includes a set of printing features. A region of the layout is identified. The region is at a distance from the set of printing features such that an exposure region associated with a feature in the region does not affect a set of exposure regions associated with the set of printing features. A plurality of non-printing features is inserted into the region. A photomask is fabricated based on the layout.
    Type: Grant
    Filed: June 26, 2018
    Date of Patent: October 12, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wen-Chang Hsueh, Ta-Cheng Lien, Chia-Jen Chen, Hsin-Chang Lee
  • Patent number: 11143973
    Abstract: A method for designing a photomask includes calculating an open ratio of an initial photomask to determine whether the open ratio of the initial photomask is less than 25%, and then changing a design of the initial photomask in response to determining the open ratio is less than 25%, such that a changed photomask has a reverse tone to the design of the initial photomask, and an open ratio of the changed photomask is 75% or more. The method can solve the issue caused by thermal expansion of the photomask.
    Type: Grant
    Filed: April 3, 2019
    Date of Patent: October 12, 2021
    Assignee: Powerchip Semiconductor Manufacturing Corporation
    Inventors: Hsiao-Chiang Lin, Yu-Hsuan Chang, Li-Chun Tseng
  • Patent number: 11143953
    Abstract: The invention relates to a method used in a photolithographic process comprising depositing a film of Atomic Layered Deposition (ALD) Al2O3 on a photomask, subjecting said film of Al2O3 on the photomask to a plasma treatment and then irradiating the deposited film of ALD Al2O3 on the coated photomask at a wavelength of 193 nm.
    Type: Grant
    Filed: March 21, 2019
    Date of Patent: October 12, 2021
    Assignee: International Business Machines Corporation
    Inventors: Robert L. Sandstrom, Peter H. Bartlau, Thomas B. Faure, Supratik Guha, Edward W. Kiewra, Louis M. Kindt, Alfred Wagner
  • Patent number: 11137688
    Abstract: An optical system transfers original structure portions (13) of a lithography mask (10), which have an x/y-aspect ratio of greater than 4:1, and are aligned on the lithography mask, separated respectively by separating portions (14) that carry no structures to be imaged. The optical system transfers the original structure portions onto image portions (31) of a substrate (26). Each of the original structure portions is transferred to a separate image portion. The image portions onto which the original structure portions are transferred are arranged in a line next to one another. An associated projection optical unit may have an anamorphic embodiment with different imaging scales for two mutually perpendicular field coordinates specifically, one that is reducing for one of the field coordinates and the other is magnifying for the other field coordinates.
    Type: Grant
    Filed: November 9, 2020
    Date of Patent: October 5, 2021
    Assignee: CARL ZEISS SMT GMBH
    Inventors: Michael Patra, Johannes Ruoff
  • Patent number: 11137691
    Abstract: A method of adjusting a layout pattern includes shifting or rotating an entire layout pattern based on information of a plurality of defects of a mask-blank to avoid an impact of first defects of the plurality of defects when the layout pattern is produced as a mask on the mask-blank. The method includes adjusting the layout pattern of the mask at a first location based on information of a second defect of a remaining of the plurality of defects to reduce an impact of the second defect when the layout pattern in projected on a wafer. The method also includes adjusting the layout pattern of the mask at a second location based on information of a third defect of the remaining of the plurality of defects and distinct from the second defect to shift an impact position of the third defect when the layout pattern in projected on the wafer.
    Type: Grant
    Filed: April 1, 2020
    Date of Patent: October 5, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shih-Ming Chang, Wei-Hsuan Liang
  • Patent number: 11137676
    Abstract: The present application discloses a photomask and an exposure system, the photomask comprising a completely transparent region and a completely shading region disposed around the periphery of the completely transparent region, and a shading region is disposed in the completely transparent region, and a light transmittance of the shading region is defined as T, 0?T<100%.
    Type: Grant
    Filed: February 22, 2019
    Date of Patent: October 5, 2021
    Assignees: HKC Corporation Limited, Chongqing HKC Optoelectronics Technology Co., Ltd.
    Inventor: Zeyao Li
  • Patent number: 11137678
    Abstract: The present invention is a method of evaluating a photomask blank-associated substrate, including the steps of: taking an image of a surface of the photomask blank-associated substrate to acquire a surface image, acquiring a contrast of the surface image from the acquired surface image, and evaluating the photomask blank-associated substrate on the basis of the acquired contrast of the surface image. This provides a method of evaluating a photomask blank-associated substrate that can conveniently evaluate the surface conditions (e.g., film qualities other than the transparency or the optical constants of an optical film and so on formed on a transparent substrate) of a photomask blank-associated substrate.
    Type: Grant
    Filed: May 22, 2019
    Date of Patent: October 5, 2021
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Takahiro Kishita
  • Patent number: 11137677
    Abstract: A pellicle for EUV exposure that has a high transmittance to EUV light, causes little outgassing, and is not much contaminated, and a method for manufacturing the same are provided. A pellicle (100) includes a pellicle film (101); a support frame (103); and a first adhesive layer (109) provided at an end of the support frame, the end being opposite to an end on which the pellicle film is extended. The pellicle further includes an inorganic layer (111) on a side surface of the first adhesive layer, the side surface extending in a direction crossing a surface of the pellicle film, and the pellicle film being extended on the side surface. The inorganic layer has a mass absorption coefficient (?m) in the range of 5×103 cm2/g to 2×105 cm2/g.
    Type: Grant
    Filed: August 8, 2019
    Date of Patent: October 5, 2021
    Assignee: MITSUI CHEMICALS, INC.
    Inventors: Kazuo Kohmura, Yosuke Ono, Atsushi Okubo, Daiki Taneichi, Hisako Ishikawa, Tsuneaki Biyajima
  • Patent number: 11126093
    Abstract: A method is proposed involving obtaining data regarding an expected focus offset during a patterning process due to topography of a region of a substrate surface. A modification of, e.g., a transmission or reflection of a region of a patterning device associated with the region of the substrate surface is determined based on the data. Using the patterning device modified according the determined modification during the patterning process mitigates an impact of the substrate topography on a parameter of the patterning process.
    Type: Grant
    Filed: May 17, 2017
    Date of Patent: September 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Richard Johannes Franciscus Van Haren, Reiner Maria Jungblut, Leon Paul Van Dijk, Willem Seine Christian Roelofs, Wim Tjibbo Tel, Stefan Hunsche, Maurits Van Der Schaar
  • Patent number: 11126077
    Abstract: A patterning device, includes: an absorber layer on a patterning device substrate; and a reflective or transmissive layer on the patterning device substrate, wherein the absorber layer and the reflective or transmissive layer together define a pattern layout having a main feature and an attenuated sub-resolution assist feature paired with the main feature, wherein: the main feature is configured to generate, upon transferring the device pattern to a layer of patterning material on a substrate, the main feature in the layer of patterning material, and upon the transferring the pattern to the layer of patterning material, the attenuated sub-resolution assist feature is configured to avoid generating a feature in the layer of patterning material and to produce a different radiation intensity than the main feature.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: September 21, 2021
    Assignee: ASML Netherlands B.V.
    Inventors: Duan-Fu Stephen Hsu, Jingjing Liu
  • Patent number: 11119403
    Abstract: A pellicle assembly for large-size photomasks including a frame member configured to be affixed to a large-size photomask substrate, a substantially rigid and transparent pellicle membrane affixed to the frame member so as to protect at least a portion of the large-size photomask substrate from contamination during usage, storage and/or transport, and a coating on at least one of top and bottom surfaces of the pellicle membrane that binds the pellicle membrane to prevent separation of pellicle membrane material in the event of breakage.
    Type: Grant
    Filed: September 12, 2019
    Date of Patent: September 14, 2021
    Assignee: Place Exchange, Inc.
    Inventors: Bryan S. Kasprowicz, Christopher Progler