Electron Beam Patents (Class 430/942)
  • Patent number: 9040212
    Abstract: A method includes scanning a lithography mask with a repair process, and measuring back-scattered electron signals of back-scattered electrons generated from the scanning. An endpoint is determined from the back-scattered electron signals. A stop point is calculated from the endpoint. The step of scanning is stopped when the calculated stop point is reached.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: May 26, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Lin Chen, Chih-Wei Wen, Chung-Hung Lin
  • Patent number: 9034542
    Abstract: In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (?f). In some embodiments, the sensitivity to changes in ?f is reduced by varying the charged particle surface dosage for a portion of the pattern. Methods for forming patterns on a surface, and for manufacturing an integrated circuit are also disclosed, in which pattern sensitivity to changes in ?f is reduced.
    Type: Grant
    Filed: April 21, 2014
    Date of Patent: May 19, 2015
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Ingo Bork
  • Patent number: 9034560
    Abstract: A negative resist composition, includes: (A) an alkali-soluble polymer containing a specific repeating unit as defined in the specification; (B) a crosslinking agent capable of crosslinking with the alkali soluble polymer (A) under an action of an acid; (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (D) a specific quaternary ammonium salt as defined in the specification; and (E) an organic carboxylic acid, and a pattern forming method uses the composition.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: May 19, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Koji Shirakawa, Tadateru Yatsuo
  • Patent number: 9017924
    Abstract: A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under action of acid, including a base component (A) which exhibits changed solubility in a developing solution under action of acid, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below. In the formula, W1 represents a group which is formed by polymerization reaction of a group containing a polymerizable group; Y1 and Y2 each independently represents a divalent linking group; Y3 represents a carbonyl group or an alkylene group; R2 and R3 each independently represents a fluorine atom or a fluorinated alkyl group; Mm+ represents an organic cation having a valency of m; and m represents an integer of 1 or more.
    Type: Grant
    Filed: March 4, 2014
    Date of Patent: April 28, 2015
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Daichi Takaki, Yoshiyuki Utsumi
  • Patent number: 9005875
    Abstract: A method of fabricating a substrate including coating a first resist onto a hardmask, exposing regions of the first resist to electromagnetic radiation at a dose of 10.0 mJ/cm2 or greater and removing a portion of said the and forming guiding features. The method also includes etching the hardmask to form isolating features in the hardmask, applying a second resist within the isolating features forming regions of the second resist in the hardmask, and exposing regions of the second resist to electromagnetic radiation having a dose of less than 10.0 mJ/cm2 and forming elements.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 14, 2015
    Assignee: Intel Corporation
    Inventors: Robert L. Bristol, Paul A. Nyhus, Charles H. Wallace
  • Patent number: 8999627
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: April 7, 2015
    Assignee: Multibeam Corporation
    Inventors: David K. Lam, Kevin M. Monahan, Theodore A. Prescop, Cong Tran
  • Patent number: 8999610
    Abstract: A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hsing Lu, Chung-Hung Lin, Chih-Wei Wen
  • Patent number: 8999628
    Abstract: The present application discloses methods, systems and devices for using charged particle beam tools to pattern and inspect a substrate. The inventors have discovered that it is highly advantageous to use write and inspection tools that share the same or substantially the same stage and the same or substantially the same designs for respective arrays of multiple charged particle beam columns, and that access the same design layout database to target and pattern or inspect features. By using design-matched charged particle beam tools, correlation of defectivity is preserved between inspection imaging and the design layout database. As a result, image-based defect identification and maskless design correction, of random and systematic errors, can be performed directly in the design layout database, enabling a fast yield ramp.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: April 7, 2015
    Assignee: Multibeam Corporation
    Inventors: David K. Lam, Kevin M. Monahan, Theodore A. Prescop, Cong Tran
  • Patent number: 8968979
    Abstract: A positive resist composition comprises a polymer comprising repeat units having formula (1) or (2). Herein denotes an aromatic hydrocarbon group, R1 is H, methyl or trifluoromethyl, R2 is H, C1-C12 alkyl or aromatic hydrocarbon group, R3 is C1-C12 alkyl, or R2 and R3 may bond together to form a ring, and a is 1 or 2. When used in the ArF lithography, the resist composition exhibits high resolution. When used in the EB image writing for mask processing, the resist composition exhibits high resolution and sensitivity sufficient to comply with high-accelerating-voltage EB irradiation, and high etch resistance.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: March 3, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Katsuya Takemura, Keiichi Masunaga, Daisuke Domon, Masayoshi Sagehashi
  • Patent number: 8962233
    Abstract: According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes an arylsulfonium salt that when exposed to actinic rays or radiation, generates an acid, the arylsulfonium salt containing at least one aryl ring on which there are a total of one or more electron donating groups, the acid generated upon exposure to actinic rays or radiation having a volume of 240 ?3 or greater.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: February 24, 2015
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Kawabata, Tomotaka Tsuchimura, Takayuki Ito
  • Patent number: 8956803
    Abstract: The present invention provides a sulfonium salt used in a resist composition that can give a pattern having a high resolution, especially an excellent rectangularity of a pattern form and a small roughness, while not readily generating a defect, in the photolithography using a high energy beam as a light source; a resist composition that contains the sulfonium salt; and a patterning process using this resist composition, wherein the sulfonium salt is shown by the following general formula (1a), wherein each of R and R0 independently represents a hydrogen atom, or a linear, branched, or cyclic monovalent hydrocarbon group having 1 to 30 carbon atoms which may be optionally substituted by a heteroatom or interposed by a heteroatom.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: February 17, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Tomohiro Kobayashi, Akihiro Seki, Masayoshi Sagehashi, Masahiro Fukushima
  • Patent number: 8951712
    Abstract: A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a composition comprising a copolymer comprising recurring units derived from a styrene, indene, benzofuran or benzothiophene monomer having 1,1,1,3,3,3-hexafluoro-2-propanol, and recurring units derived from a styrene, vinylnaphthalene, indene, benzofuran, benzothiophene, stilbene, styrylnaphthalene or dinaphthylethylene monomer and an ether solvent.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: February 10, 2015
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8945803
    Abstract: The present disclosure provides a method of improving a layer to layer overlay error by an electron beam lithography system. The method includes generating a smart boundary of two subfields at the first pattern layer and obeying the smart boundary at all consecutive pattern layers. The same subfield is exposed by the same electron beam writer at all pattern layers. The overlay error caused by the different electron beam at different layer is improved.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Shiang Chen, Hung-Chun Wang, Jeng-Horng Chen, Cheng-Hung Chen, Shih-Chi Wang, Nian-Fuh Cheng, Chia-Chi Lin
  • Patent number: 8945814
    Abstract: Acid generator compounds are provided that are particularly useful as photoresist composition components. Preferred acid generators include cyclic sulfonium compounds that comprise a covalently linked acid-labile group.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: February 3, 2015
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: James F. Cameron, Vipul Jain, Paul J. LaBeaume, Jin Wuk Sung, James W. Thackeray
  • Patent number: 8936900
    Abstract: A molecular glass compound comprises a vinyl ether adduct of an aromatic vinyl ether of formula C(R1)2?C(R2)—O-(L)n-Ar1, and a calix[4]arene, wherein R1 and R2 are each independently a single bond, H, C1-20 alkyl, C1-20 haloalkyl, C6-20 aryl, C6-20 haloaryl, C7-20 aralkyl, or C7-20 haloaralkyl, L is a C1-20 linking group, n is 0 or 1, and Ar1 is a halo-containing monocyclic, or substituted or unsubstituted polycyclic or fused polycyclic C6-20 aromatic-containing moiety, wherein R1 and R2 are connected to Ar1 when either or both of R1 and R2 is a single bond and n is 0. A photoresist, comprising the molecular glass compound, a solvent, and a photoacid generator, a coated substrate, comprising (a) a substrate having one or more layers to be patterned on a surface thereof; and (b) a layer of a photoresist composition over the one or more layers to be patterned, and a method of forming the molecular glass compound, are also disclosed.
    Type: Grant
    Filed: September 21, 2012
    Date of Patent: January 20, 2015
    Assignees: Rohm and Haas Electronic Materials LLC, Dow Global Technologies LLC
    Inventors: Vipul Jain, D. Patrick Green, James W. Thackeray, Brad C. Bailey, Su Jin Kang
  • Patent number: 8927932
    Abstract: A scanning transmission electron microscope for imaging a specimen includes an electron beam source to generate an electron beam. Beam optics are provided to converge the electron beam. A stage is provided to hold a specimen in the path of the electron beam. A beam scanner scans the electron beam across the specimen. A controller may define one or more scanning areas corresponding to locations of the specimen, and control one or more of the beam scanner and stage to selectively scan the electron beam in the scanning areas. A detector is provided to detect electrons transmitted through the specimen to generate an image. The controller may generate a sub-image for each of the scanning areas, and stitch together the sub-images for the scanning areas to generate a stitched-together image. The controller may also analyze the stitched-together image to determine information regarding the specimen.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: January 6, 2015
    Assignee: Mochii, Inc.
    Inventors: Christopher Su-Yan Own, William Andregg, Michael Lee Andregg
  • Patent number: 8916315
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of circular or nearly-circular shaped beam shots can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular shaped beam shots is also disclosed.
    Type: Grant
    Filed: August 5, 2013
    Date of Patent: December 23, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8911929
    Abstract: An aqueous solution containing 0.1-10 wt % of a guanidine is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a coating, baking, exposing the coating to high-energy radiation, and developing the exposed coating in a guanidine-containing aqueous solution.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: December 16, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Jun Hatakeyama
  • Patent number: 8900796
    Abstract: The present invention provides an acid generator generates a sulfonic acid represented by the following general formula (1) in response to high-energy beam or heat: To provide a novel acid generator which is suitably used as an acid generator for a resist composition, which solves the problems of LER and a depth of focus and can be effectively and widely used particularly without degradation of a resolution, a chemically amplified resist composition using the same, and a patterning process.
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: December 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Masaki Ohashi, Tomohiro Kobayashi, Masayoshi Sagehashi
  • Patent number: 8900778
    Abstract: A method for forming patterns on a surface using charged particle beam lithography is disclosed, in which a stencil is provided comprising first and second apertures, where circular or nearly-circular patterns in a first plurality of sizes are formed on the surface using the first aperture by varying shot dosage, and where circular or nearly-circular patterns in a second plurality of sizes are formed on the surface using the second aperture by varying shot dosage. A similar method for fracturing or mask data preparation is also disclosed. A stencil for charged particle beam lithography is also disclosed, where the stencil comprises first aperture and second apertures capable of forming, in one shot, patterns in a first and a second range of sizes on a surface by varying the shot dosage, where the first range of sizes is discontinuous with the second range of sizes.
    Type: Grant
    Filed: December 16, 2013
    Date of Patent: December 2, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8895212
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein base dosages for a plurality of exposure passes are different from each other. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, with base dosage levels being different for different exposure passes.
    Type: Grant
    Filed: July 19, 2013
    Date of Patent: November 25, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8889339
    Abstract: A resist pattern forming method contains: in the following order, (1) forming a resist film by using a negative chemical amplification resist composition containing (A) a polymer compound having a repeating unit represented by formula (1) as defined in the specification, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a crosslinking agent capable of crosslinking the polymer compound (A) by an action of an acid; (2) exposing the resist film, so as to form an exposed resist film; and (4) developing the exposed resist film by using a developer containing an organic solvent.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: November 18, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koutarou Takahashi, Tomotaka Tsuchimura
  • Patent number: 8883375
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes does not equal a normal dosage. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes is different than a normal dosage.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: November 11, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8877410
    Abstract: The present disclosure provides a dithering method of increasing wafer throughput by an electron beam lithography system. The dithering method generates an edge map from a vertex map. The vertex map is generated from an integrated circuit design layout (such as an original pattern bitmap). A gray map (also referred to as a pattern gray map) is also generated from the integrated circuit design layout. By combining the edge map with the gray map, a modified integrated circuit design layout (modified pattern bitmap) is generated for use by the electron beam lithography system.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: November 4, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hung Chen, Pei-Shiang Chen, Shih-Chi Wang, Jeng-Horng Chen
  • Patent number: 8865377
    Abstract: A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed.
    Type: Grant
    Filed: March 13, 2013
    Date of Patent: October 21, 2014
    Assignee: D2S, Inc.
    Inventors: Etienne Jacques, Jin Choi, Kazuyuki Hagiwara
  • Patent number: 8865389
    Abstract: Provided is an actinic-ray- or radiation-sensitive resin composition, including a resin comprising a repeating unit (A), the a repeating unit (A) containing a structural moiety (S1) that when acted on by an acid, is decomposed to thereby generate an alkali-soluble group and a structural moiety (S2) that when acted on by an alkali developer, is decomposed to thereby increase its rate of dissolution in the alkali developer, and a repeating unit (B) that when exposed to actinic rays or radiation, generates an acid.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: October 21, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Shuji Hirano, Hidenori Takahashi, Hideaki Tsubaki
  • Patent number: 8852831
    Abstract: A device for charged particle beam lithography is disclosed which includes an inputting device, a character projection stencil and a reducing lens. The inputting device reads a set of shots, where each shot has a magnification. The character projection stencil contains a character pattern. The reducing lens introduces magnification variation of the stencil character pattern when writing the pattern onto a surface, where the magnification of the reducing lens can be varied from shot to shot.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: October 7, 2014
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 8852849
    Abstract: An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: October 7, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jaw-Jung Shin, Shy-Jay Lin, Wen-Chuan Wang, Burn Jeng Lin
  • Patent number: 8846303
    Abstract: There is disclosed a resist top coat composition, used in a patterning process onto a photoresist film, wherein a resist top coat is formed by using the resist top coat composition onto a photoresist film formed on a wafer, and then, after exposure, removal of the resist top coat and development of the photoresist film are performed to effect the patterning on the photoresist film, wherein the resist top coat composition contains a truxene compound having phenol groups shown by the following general formula (1). As a result, there is provided a resist top coat composition not only having an effect from an environment to a resist film reduced and effectively shielding an OOB light, but also reducing film loss of a resist pattern and bridging between patterns and having an effect to enhance sensitivity of the resist; and a patterning process using this.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: September 30, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Daisuke Kori
  • Patent number: 8846278
    Abstract: An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: September 30, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jaw-Jung Shin, Shy-Jay Lin, Wen-Chuan Wang, Burn Jeng Lin
  • Patent number: 8841049
    Abstract: The present disclosure provides for many different embodiments of a charged particle beam data storage system and method. In an example, a method includes dividing a design layout into a plurality of units; creating a lookup table that maps each of the plurality of units to its position within the design layout and a data set, wherein the lookup table associates any repeating units in the plurality of units to a same data set; and exposing an energy sensitive layer to a charged particle beam based on the lookup table.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: September 23, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Chun Wang, Pei-Shiang Chen, Tzu-Chin Lin, Faruk Krecinic, Jeng-Horng Chen, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8841059
    Abstract: A negative resist composition, which shows excellent sensitivity and resolution in pattern formation by exposure to electron beams or EUV, a novel crosslinking agent suitable for the resist composition, and a pattern forming method using the resist composition are presented. The negative resist composition comprises: (A) a polyphenol compound comprising two or more phenolic hydroxyl groups in a molecule thereof and having a molecular weight of 300 to 3,000, (B) an acid generator which directly or indirectly produces acid by exposure to active energy rays having a wavelength of 248 nm or less, and (C) a crosslinking agent represented by the chemical formula (1).
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: September 23, 2014
    Assignee: Dai Nippon Printing Co., Ltd.
    Inventors: Kenichi Okuyama, Yasunori Nagatsuka
  • Patent number: 8835868
    Abstract: A multi charged particle beam writing apparatus includes a stage to mount a target object thereon and to be movable, an emission unit to emit a charged particle beam, an aperture member, in which a plurality of openings are formed, to produce multiple beams by letting a region including the whole of a plurality of openings be irradiated with the charged particle beam and letting portions of the charged particle beam respectively pass through a corresponding opening of a plurality of openings, a reduction optical system to reduce the multiple beams, and a doublet lens, arranged at the subsequent stage of the reduction optical system, in which a magnification is 1 and directions of magnetic fluxes are opposite.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: September 16, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Takanao Touya, Munehiro Ogasawara
  • Patent number: 8835083
    Abstract: A manufacturing method of a photomask by which a resist pattern corresponding to a pattern with designed values can be formed, a method for optical proximity correction, and a manufacturing method of a semiconductor device are provided. Proximity design features that are close to each other and estimated to violate a mask rule check are extracted. In the proximity design features, correction prohibited regions where optical proximity correction is not carried out are set based on the distance between the features obtained from the extracted proximity design features and the resolution of an exposure device. Optical proximity correction is carried out on the proximity design features with the correction prohibited regions excluded to obtain corrected proximity patterns. A predetermined mask material is patterned by carrying out electron beam lithography based on the corrected proximity pattern data.
    Type: Grant
    Filed: October 2, 2013
    Date of Patent: September 16, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Ayumi Minamide, Akemi Moniwa, Akira Imai
  • Patent number: 8835082
    Abstract: The present disclosure provides a method for electron-beam (e-beam) lithography patterning. The method includes forming a resist layer on a substrate; performing a first e-beam exposure process to the resist layer according to a first pattern; performing a second e-beam exposure process to the resist layer according to a second pattern, wherein the second patterned is overlapped to the first pattern on the resist layer; and developing the resist layer.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Pei-Shiang Chen, Hung-Chun Wang, Jeng-Horng Chen
  • Patent number: 8828628
    Abstract: A method for optical proximity correction (OPC) is disclosed, in which a set of VSB shots is determined, where the set of shots can approximately form a target reticle pattern that is an OPC-compensated version of an input pattern. The set of shots is simulated to create a simulated reticle pattern. A substrate image is calculated, based on using the simulated reticle pattern in an optical lithographic process to form the substrate image. A system for OPC is also disclosed.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: September 9, 2014
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 8828632
    Abstract: A method for fabricating a semiconductor device is disclosed. An exemplary method includes receiving an integrated circuit (IC) layout design including a target pattern on a grid. The method further includes receiving a multiple-grid structure. The multiple-grid structure includes a number of exposure grid segments offset one from the other by an offset amount in a first direction. The method further includes performing a multiple-grid exposure to expose the target pattern on a substrate and thereby form a circuit feature pattern on the substrate. Performing the multiple-grid exposure includes scanning the substrate with the multiple-grid structure in a second direction such that a sub-pixel shift of the exposed target pattern occurs in the first direction, and using a delta time (?t) such that a sub-pixel shift of the exposed target pattern occurs in the second direction.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8822129
    Abstract: There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition containing (A) a resin that contains a repeating unit having a partial structure represented by the specific formula and can decrease the solubility for a developer containing an organic solvent by the action of an acid, and (B) a compound capable of generating an acid upon irradiation with an electron beam or an extreme ultraviolet ray, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: September 2, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Kaoru Iwato, Hideaki Tsubaki, Shuji Hirano
  • Patent number: 8822134
    Abstract: The disclosed resist developer is used when developing by irradiating an energy beam onto a resist layer containing a polymer of ?-chloromethacrylate and ?-methylstyrene for rendering or exposure, and contains a fluorocarbon-containing solvent (A) and an alcohol solvent (B), the latter of which has higher solubility relative to the resist layer than the former.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Hiromasa Iyama, Hideo Kobayashi
  • Patent number: 8822106
    Abstract: The present disclosure provides an embodiment of a method, for a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel size S1 to generate an alternating data grid having a second pixel size S2 that is <S1, wherein the pattern generator includes multiple grid segments configured to offset from each other in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each subsequent segment of the grid segments is controlled to have a time delay relative to a preceding segment of the grid segments.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8822107
    Abstract: The present disclosure provide one embodiment of a method of a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel area S1 to generate a data grid having a second pixel area S2 that is equal to n2*S1, wherein the pattern generator includes a multi-segment structure having multiple grid segments, wherein the grid segments includes a first set of grid segments and a second set of grid segments, each of the first set of grid segments being configured to have an offset in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each of the second set of grid segments is controlled to have a time delay.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8822136
    Abstract: A negative pattern is formed by coating a resist composition onto a substrate, the resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, an acid generator, an onium salt of perfluoroalkyl ether carboxylic acid, and an organic solvent, prebaking, exposing, baking, and developing in an organic solvent such that the unexposed region of film is dissolved away and the exposed region of film is not dissolved. In image formation via positive/negative reversal by organic solvent development, the resist film is characterized by a high dissolution contrast between the unexposed and exposed regions.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: September 2, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Kazuhiro Katayama, Youichi Ohsawa
  • Patent number: 8795946
    Abstract: Polymerizable ester compounds having formula (1) are novel wherein R1 is H, F, methyl or trifluoromethyl, R2 is an acid labile group, Aa is a divalent hydrocarbon group which may be separated by —O— or —C(?O)—, and k1 is 0 or 1. They are useful as monomers to produce polymers which are transparent to radiation ?500 nm. Radiation-sensitive resist compositions comprising the polymers as base resin exhibit excellent developed properties.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: August 5, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Koji Hasegawa, Masayoshi Sagehashi, Yuuki Suka, Masashi Ilo
  • Patent number: 8790863
    Abstract: In a method for imaging a solid state substrate, a vapor is condensed to an amorphous solid water condensate layer on a surface of a solid state substrate. Then an image of at least a portion of the substrate surface is produced by scanning an electron beam along the substrate surface through the water condensate layer. The water condensate layer integrity is maintained during electron beam scanning to prevent electron-beam contamination from reaching the substrate during electron beam scanning. Then one or more regions of the layer can be locally removed by directing an electron beam at the regions. A material layer can be deposited on top of the water condensate layer and any substrate surface exposed at the one or more regions, and the water condensate layer and regions of the material layer on top of the layer can be removed, leaving a patterned material layer on the substrate.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 29, 2014
    Assignee: President and Fellows of Harvard College
    Inventors: Daniel Branton, Anpan Han, Jene A. Golovchenko
  • Patent number: 8785086
    Abstract: To solve a problem of reduction in accumulated energy due to backward scattering, leading to degradation in CD linearity, which is caused when a generally used high-contrast resist is used in the manufacture of a reflective mask. A reflective mask blank for manufacturing a reflective mask includes a substrate, a multilayer reflective film which is formed on the substrate and adapted to reflect exposure light, and an absorber film which is formed on the multilayer reflective film and adapted to absorb the exposure light. A resist film for electron beam writing is formed on the absorber film and the contrast value ? of the resist film for electron beam writing is 30 or less.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: July 22, 2014
    Assignee: Hoya Corporation
    Inventors: Masahiro Hashimoto, Kazunori Ono, Kenta Tsukagoshi, Tooru Fukui
  • Patent number: 8778593
    Abstract: A chemical amplification resist composition contains: (A) a polymer compound having a phenolic hydroxyl group and a group formed by substituting a substituent for a hydrogen atom of a hydroxyl group in a phenolic hydroxyl group and satisfying the following (a) to (c) at the same time: (a) the polydispersity is 1.2 or less, (b) the weight average molecular weight is from 2,000 to 6,500, and (c) the glass transition temperature (Tg) is 140° C. or more.
    Type: Grant
    Filed: June 29, 2012
    Date of Patent: July 15, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Tomotaka Tsuchimura, Tadateru Yatsuo
  • Patent number: 8772734
    Abstract: A lithograph apparatus that performs writing on a substrate with a plurality of charged particle beams. A blanking deflector array blanks the plurality of charged particle beams. An aperture array blocks n charged particle beam deflected by the blanking deflector array. A sealing mechanism seals an opening or at least one of the blanking deflector array and the aperture array with a shielding material that shields a charged particle beam. A moving mechanism moves the substrate so that the writing is performed with a blankable charged particle beam instead of an unblankable charged particle beam shielded by the shielding material.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: July 8, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Toshiro Yamanaka
  • Patent number: 8771906
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: July 8, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8748064
    Abstract: A charged particle beam drawing method according to an embodiment is a method including forming a first measurement pattern in a first measurement pattern area; in succession with processing of forming the first measurement pattern, forming a second measurement pattern in a second measurement pattern area located farthest from the first measurement pattern area in the same column as the first measurement pattern area; and in moving a charged particle beam from the second measurement pattern area to a third measurement pattern area located adjacent to the first measurement pattern area in the same column as the first and second measurement patterns to form a third measurement pattern, moving the charged particle beam to the third measurement pattern area while taking tiny shots approximately equivalent to a data resolution at the adjacent measurement pattern areas to be drawn in the same column one after another from the second measurement pattern.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: June 10, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Rieko Nishimura, Satoshi Nakahashi
  • Patent number: 8741547
    Abstract: A multi charged particle beam writing apparatus according to an embodiment, includes a setting unit to set a second region such that more openings in remaining openings except for an opening through which the defective beam passes are included in the second region, a selection unit to select a mode from a first mode in which a pattern is written on a target object by using multiple beams having passed openings in the second region and a second mode in which multiple writing is performed while shifting a position by using at least one of remaining multiple beams in the state where the defective beam is controlled to be beam off and additional writing is performed for a position which was supposed to be written by the defective beam, and a writing processing control unit to control to write in the mode selected.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: June 3, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Ryoichi Yoshikawa, Munehiro Ogasawara