Electron Beam Patents (Class 430/942)
  • Patent number: 8470515
    Abstract: A method of forming an etch mask includes: providing a substrate having thereon a material layer to be etched; forming a hard mask layer consisting of a radiation-sensitive, single-layer resist material on the material layer; exposing the hard mask layer to actinic energy to change solvent solubility of exposed regions of the hard mask layer; and subjecting the hard mask layer to water treatment to remove the exposed regions of the hard mask layer, thereby forming a masking pattern consisting of unexposed regions of the hard mask layer.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: June 25, 2013
    Assignee: Nanya Technology Corp.
    Inventors: Tse-Yao Huang, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8465884
    Abstract: A method of depicting a photomask using e-beams includes preparing a photomask having an e-beam resist, depicting the e-beam resist and forming an e-beam resist pattern on the photomask. Depicting the e-beam resist includes irradiating e-beams to an e-beam depiction region without irradiating the e-beams to an e-beam non-depiction region disposed in the e-beam depiction region. The e-beam depiction region and the e-beam non-depiction region are formed using an e-beam resist pattern having the same polarity.
    Type: Grant
    Filed: December 21, 2010
    Date of Patent: June 18, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Sang-Hee Lee, Rae-Won Yi
  • Patent number: 8465903
    Abstract: Methods for forming photoresists sensitive to radiation on a substrate are provided. Described are chemical vapor deposition methods of forming films (e.g., silicon-containing films) as photoresists using a plasma which may be exposed to radiation to form a pattern. The deposition methods utilize precursors with cross-linkable moieties that will cross-link upon exposure to radiation. Radiation may be carried out in the with or without the presence of oxygen. Exposed or unexposed areas may then be developed in an aqueous base developer.
    Type: Grant
    Filed: October 6, 2011
    Date of Patent: June 18, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Timothy Michaelson, Paul Deaton, Nitin K. Ingle, Abhijit Basu Mallick, Amit Chatterjee
  • Patent number: 8450047
    Abstract: A method is provided for achieving specific magnetic states with a given vortex chirality in artificial kagome spin ice building block structures containing one or more hexagonal rings of ferromagnetic islands created with electron beam lithography, where a subgroup of the ferromagnetic islands have a smaller width and therefore higher switching field than the other normal (wider) islands and are placed at specific positions in each of the rings. The positioning of the islands determines the magnetic state of the building block structure during magnetization reversal, and determines the chirality of the magnetic vortices that occur in each ring.
    Type: Grant
    Filed: February 24, 2012
    Date of Patent: May 28, 2013
    Assignee: Paul Scherrer Institut
    Inventors: Laura Heyderman, Elena Mengotti, Danilo Zanin, Rajesh Chopdekar, Hans-Benjamin Braun, Remo Huegli, Gerard Duff
  • Patent number: 8431323
    Abstract: A fluorinated monomer of cyclic acetal structure has formula (1) wherein R is a C1-C20 alkyl group which may be substituted with halogen or separated by oxygen or carbonyl, and Z is a divalent organic group which forms a ring with alkylenoxy and contains a polymerizable unsaturated group. A polymer derived from the fluorinated monomer may be endowed with appropriate water repellency, water sliding property, lipophilicity, acid lability and hydrolyzability and is useful in formulating a protective coating composition and a resist composition.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: April 30, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Satoshi Shinachi, Takeshi Kinsho, Koji Hasegawa, Yuji Harada, Jun Hatakeyama, Kazunori Maeda, Tomohiro Kobayashi
  • Patent number: 8426108
    Abstract: A chemically amplified positive resist composition for EB or EUV lithography is provided comprising (A) a polymer or a blend of polymers wherein a film of the polymer or polymer blend is insoluble in alkaline developer, but turns soluble under the action of acid, (B) an acid generator, (C) a basic compound, and (D) a solvent. The basic compound (C) is a polymer comprising recurring units bearing a side chain having a secondary or tertiary amine structure as a basic active site and constitutes a part or the entirety of the polymer or polymers as component (A).
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: April 23, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Satoshi Watanabe, Akinobu Tanaka, Daisuke Domon
  • Patent number: 8426103
    Abstract: A positive resist composition for use with electron beam, X-ray or EUV and a pattern forming method using the positive resist composition are provided, the positive resist composition including: (A) a resin capable of decomposing under an action of an acid to increase a dissolution rate in an aqueous alkali solution; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (C) a basic compound; and (D) an organic solvent, wherein the entire solid content concentration in the resist composition is from 1.0 to 4.5 mass % and a ratio of (B) the compound capable of generating an acid upon irradiation with actinic rays or radiation is from 10 to 50 mass % based on the entire solid content.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: April 23, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Katsuhiro Yamashita
  • Patent number: 8426113
    Abstract: The present invention provides chemically amplified silsesquioxane polymers for preparing masks using e-beam lithography. The silsesquioxane polymers have reactive sidechains that in the presence of an acid undergo acid catalyzed rearrangement to generate reactive functionalities that crosslink to form Si—O—Si bonds. The reactive side-chains comprise ?- and ?-substituted alkyl groups bound to the silicon of the silsesquioxane polymer. The substituent of the ?- and ?-substituted alkyl group is an electron withdrawing group. Resists generated with the chemically amplified silsesquioxane polymers of the present invention and imaged with e-beams have resolution of ?60 nm line/space.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: April 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Luisa Dominica Bozano, Blake W. Davis, Alshakim Nelson, Jitendra Singh Rathore, Linda Karin Sundberg
  • Patent number: 8420290
    Abstract: An acetal compound of formula (1) is provided wherein R1 is H, methyl or trifluoromethyl, R2 is a monovalent C1-C10 hydrocarbon group, R3 and R4 are H or a monovalent C1-C10 hydrocarbon group, R2 and R3 may together form an aliphatic hydrocarbon ring, and X1 is a single bond or a divalent C1-C4 hydrocarbon group. A polymer comprising recurring units derived from the acetal compound is used as a base resin to formulate a resist composition which exhibits a high resolution when processed by micropatterning technology, especially ArF lithography.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: April 16, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd
    Inventors: Koji Hasegawa, Masaki Ohashi, Takeshi Kinsho, Tsunehiro Nishi, Masayoshi Sagehashi
  • Patent number: 8415086
    Abstract: A method is provided for achieving low energy states for the study of chirality kagome spin ice structures, the method having the steps of providing a silicon substrate; spin coating a polymethyl acrylate resist on said silicon substrate; providing an electron beam writer; exposing said coated substrate to an electron beam from said electron beam writer; positioning more than one thin island ferromagnetic island structure along a honeycomb lattice of said kagome spin ice component, wherein said positioning being along a determined magnetization direction of said lattice and wherein said island structures providing a mechanism in which chirality is controlled.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: April 9, 2013
    Assignee: Paul Scherrer Institut
    Inventors: Laura Heyderman, Elena Mengotti, Danilo Zanin, Rajesh Chopdekar, Hans-Benjamin Braun, Remo Hügli, Gerard Duff
  • Patent number: 8404404
    Abstract: A character projection charged particle beam writer system is disclosed comprising a variable magnification reduction lens which will allow different shot magnifications on a shot by shot basis. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a magnification to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the magnification from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the magnification of the charged particle beam writer system from shot to shot.
    Type: Grant
    Filed: August 20, 2010
    Date of Patent: March 26, 2013
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 8404407
    Abstract: According to certain embodiments, a mask blank for an electron beam writing is provided, capable of forming a resist pattern of a 3-dimensional topology through an one-time writing. The mask blank includes a substrate, a thin film formed on the substrate, and an electron beam resist film formed on the thin film. The electron beam resist film is made of a laminated film including at least a lower resist film and an upper resist film. The lower resist film and the upper resist film have different resist sensitivities with respect to an electron beam.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: March 26, 2013
    Assignee: Hoya Corporation
    Inventors: Masahiro Hashimoto, Hiroshi Shirotori, Yuusuke Honma, Mitsuhiro Shirakura
  • Patent number: 8383316
    Abstract: New routes involving multi-step reversible photo-chemical reactions using two-step techniques to provide non-linear resist for lithography are described in this disclosure. They may provide exposure quadratically dependant on the intensity of the light. Several specific examples, including but not limited to using nanocrystals, are also described. Combined with double patterning, these approaches may create sub-diffraction limit feature density.
    Type: Grant
    Filed: July 6, 2007
    Date of Patent: February 26, 2013
    Assignee: Pixelligent Technologies, LLC
    Inventors: Gregory D. Cooper, Zhiyun Chen, Z Serpil Gonen Williams, Larry F. Thompson
  • Patent number: 8361703
    Abstract: A protective coating composition comprising a polymer of acyl-protected hexafluoroalcohol structure as a base polymer, optionally in admixture with a second polymer containing sulfonic acid amine salt in recurring units is applied onto a resist film. The protective coating is transparent to radiation of wavelength up to 200 nm.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: January 29, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yuji Harada, Jun Hatakeyama, Koji Hasegawa
  • Patent number: 8361699
    Abstract: The present invention relates to a method for performing high speed electron beam lithography (EBL). An electron beam source (EBS), capable of emitting an electron beam towards the energy sensitive resist, forms a first pattern (P1) on the substrate, the first pattern defining a first direction (D1) on the substrate. The electron beam source then forms a second pattern (P2) on the substrate. The energy and/or dose delivered to the energy sensitive resist during the exposure of the first and the second pattern is dimensioned so that the threshold dose/energy of the energy sensitive resist is reached on the overlapping portions of the first and the second patterns (P1, P2). The invention provides a high speed technique for the production of substrates with high quality developed patterns, e.g. hole or dot arrays, by electron beam lithography. Each hole or dot may be defined by the mutually overlapping portions of the first and second pattern, e.g.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: January 29, 2013
    Assignee: Nil Technology APS
    Inventors: Theodor Kamp Nielsen, Brian Bilenberg, Peixiong Shi
  • Patent number: 8361698
    Abstract: A method of fabricating a photo mask for an organic light emitting display comprises forming a light shielding layer on a transparent substrate, coating the light shielding layer with an electron beam resist, performing exposure on the electron beam resist by a vector scan method in accordance with a specific pattern and using an electron beam having a predetermined accelerating voltage, developing the exposed electron beam resist to form an electron beam resist pattern having the specific pattern, and etching the light shielding layer using the electron beam resister pattern as an etching mask. The specific pattern has a shape corresponding to transistors included in a pixel of an organic light emitting display and elements that constitute a capacitor.
    Type: Grant
    Filed: June 2, 2010
    Date of Patent: January 29, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventor: Keun-Soo Lee
  • Patent number: 8354207
    Abstract: A stencil for character projection (CP) charged particle beam lithography and a method for manufacturing the stencil is disclosed, where the stencil contains two circular characters, where each character is capable of forming patterns on a surface in a range of sizes by using different dosages, and where the size ranges for the two characters is continuous. A method for forming circular patterns on a surface using variable-shaped beam (VSB) shots of different dosages is also disclosed. A method for forming circular patterns on a surface using a set of shots, where all of the shots comprise dosages, is also disclosed.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: January 15, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8343695
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. In some embodiments, characteristics of the continuous track will be within a predetermined tolerance.
    Type: Grant
    Filed: October 7, 2011
    Date of Patent: January 1, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8334091
    Abstract: To provide a method for easily forming microscopic patterns exceeding the limit of exposure in the patterning technique utilizing the photolithography method in the vacuum deep ultraviolet ray region, a resist pattern swelling material is comprised by mixing a water-soluble or alkali-soluble composition comprising a resin and a cross linking agent and any one of a non-ionic interfacial active agent and an organic solvent selected from a group of the alcohol based, chain or cyclic ester based, ketone based, chain or cyclic ether based organic solvents.
    Type: Grant
    Filed: June 25, 2008
    Date of Patent: December 18, 2012
    Assignee: Fujitsu Limited
    Inventors: Koji Nozaki, Miwa Kozawa, Takahisa Namiki, Junichi Kon, Ei Yano
  • Patent number: 8334090
    Abstract: An inorganic electron beam sensitive oxide layer is formed on a carbon based material layer or an underlying layer. The inorganic electron beam sensitive oxide layer is exposed with an electron beam and developed to form patterned oxide regions. An ultraviolet sensitive photoresist layer is applied over the patterned oxide regions and exposed surfaces of the carbon based material layer, and subsequently exposed with an ultraviolet radiation and developed. The combined pattern of the patterned ultraviolet sensitive photoresist and the patterned oxide regions is transferred into the carbon based material layer, and subsequently into the underlying layer to form trenches. The carbon based material layer serves as a robust mask for performing additional pattern transfer into the underlying layer, and may be easily stripped afterwards. The patterned ultraviolet sensitive photoresist, the patterned oxide regions, and the patterned carbon based material layer are subsequently removed.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: December 18, 2012
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C. Fuller, Michael A. Guillorn, Balasubramanian S. Pranatharthi Haran, Jyotica V. Patel
  • Patent number: 8329365
    Abstract: A method for fracturing or mask data preparation or optical proximity correction is disclosed, wherein a plurality of variable shaped beam (VSB) shots are determined for at least one exposure pass, where the plurality of VSB shots forms a line pattern which is at a diagonal to the axes of a Cartesian coordinate plane, and where at least two neighboring shots in the same exposure pass overlap. Methods for manufacturing a surface using charged particle beam lithography and for manufacturing an integrated circuit using an optical lithography process are also disclosed.
    Type: Grant
    Filed: November 20, 2011
    Date of Patent: December 11, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Patent number: 8329381
    Abstract: A pattern forming method includes providing a first mask with a first aperture, forming a first transfer pattern on a resist by irradiating a first electron beam through the first aperture, the first transfer pattern extending in a first direction and having a boundary along a circumference thereof, and the first electron beam having a cross section of a first square when emerging from the first aperture, and forming a second transfer pattern on the resist by irradiating a second electron beam through the first aperture, the second transfer pattern extending in the first direction and overlapping a portion the boundary of the first transfer pattern, and the second electron beam having a cross section of a second square when emerging from the first aperture.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: December 11, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Byung-Gook Kim, Hee-Bom Kim, Sang-Hee Lee
  • Patent number: 8323866
    Abstract: Methods and compositions for enhancing the sensitivity of an inorganic resist composition are disclosed. In one aspect, compositions for use with a matrix material (e.g., a lithographically sensitive polymeric material such as a hydrogen-bearing siloxane material) can be formulated with a sensitizer, where the sensitizer can be present in a relatively small amount. The sensitizer can include a radical generator, and can act to enhance the efficiency of radical generation and/or resist crosslinking when the resist is impinged by a selected lithographic radiation. The methods of the present invention can be especially useful in performing short wavelength (e.g., less than 200 nm) lithography, or for processes such as e-beam lithography, which traditionally suffer from low throughput. Methods of utilizing one or more of these aspects are also disclosed.
    Type: Grant
    Filed: July 6, 2009
    Date of Patent: December 4, 2012
    Assignee: Massachusetts Institute of Technology
    Inventors: Theodore H. Fedynyshyn, Russell B. Goodman
  • Patent number: 8309283
    Abstract: A writing method includes calculating a proximity effect-corrected dose for correcting a proximity effect in charged particle beam writing, for each first mesh region made by virtually dividing a writing region of a target object into a plurality of first mesh regions of a first mesh size, calculating a fogging effect-corrected dose by using the proximity effect-corrected dose calculated and an area density in the first mesh size with respect to a part of a calculation region for calculating the fogging effect-corrected dose for correcting a fogging effect in the charged particle beam writing, and by using an area density in a second mesh size larger than the first mesh size with respect to a remaining part of the calculation region, synthesizing the fogging effect-corrected dose and the proximity effect-corrected dose for the each first mesh region, and writing a pattern on the target object by using a charged particle beam based on a synthesized correction dose.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: November 13, 2012
    Assignee: NuFlare Technology, Inc.
    Inventors: Yasuo Kato, Jun Yashima, Hiroshi Matsumoto, Tomoo Motosugi, Tomohiro Iijima, Takayuki Abe
  • Patent number: 8304148
    Abstract: A method for fracturing or mask data preparation or proximity effect correction of a pattern to be formed on a surface is disclosed in which a plurality of variable shaped beam (VSB) shots are determined, and in which charged particle beam simulation is used to calculate the pattern which the plurality of VSB shots will form on the surface. At least two shots in the plurality of VSB shots overlap each other. In some embodiments, assigned dosages of at least two shots differ before proximity effect correction (PEC). In other embodiments an optimization technique may be used embodiments.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: November 6, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8298732
    Abstract: An exposure method includes generating a reticle exposure pattern based on a target pattern, performing a lithography simulation based on the reticle exposure pattern to generate a simulation pattern that simulates a resist pattern formed by reticle exposure, generating differential data between the target pattern and the simulation pattern, generating a first electron-beam exposure pattern based on the differential data, generating a reticle based on the reticle exposure pattern, performing an optical exposure process with respect to a resist by use of the reticle, and performing an electron-beam exposure process with respect to the resist based on the first electron-beam exposure pattern.
    Type: Grant
    Filed: January 31, 2011
    Date of Patent: October 30, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masahiko Minemura, Seiji Makino, Kanji Takeuchi, Noboru Sugiyama, Kozo Ogino
  • Patent number: 8288076
    Abstract: A chemically amplified resist composition comprises a polymer comprising units having polarity to impart adhesion and acid labile units adapted to turn alkali soluble under the action of acid. The polymer comprises recurring units having formula (1) wherein R1 is H, F, CH3 or CF3, Rf is H, F, CF3 or CF2CF3, A is a divalent hydrocarbon group, R2, R3 and R4 are alkyl, alkenyl, oxoalkyl, aryl, aralkyl or aryloxoalkyl. Recurring units containing an aromatic ring structure are present in an amount ?60 mol % and the recurring units having formula (1) are present in an amount <5 mol %.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: October 16, 2012
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Satoshi Watanabe, Akinobu Tanaka, Daisuke Domon
  • Patent number: 8283094
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of shots of circular or nearly-circular character projection characters, having at least two shots that overlap, can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular character projection shots, where at least two shots overlap, is also disclosed.
    Type: Grant
    Filed: October 16, 2011
    Date of Patent: October 9, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8278026
    Abstract: A method for improving the efficiency of the electron-beam exposure is provided, comprising: step 1) coating a positive photoresist on a wafer to be processed, and performing a pre-baking; step 2) separating pattern data, optically exposing a group of relatively large patterns, and then performing a post-baking; step 3) developing the positive photoresist; step 4) performing a plasma fluorination; step 5) performing a baking to solidify the photoresist; step 6) coating a negative electron-beam resist and performing a pre-baking; step 7) electron-beam exposing a group of fine patterns; step 8) performing a post-baking; and step 9) developing the negative electron-beam resist, so that the fabrication of the patterns is finished. According to the invention, it is possible to save 30-60% of the exposure time. Thus, the exposure efficiency is significantly improved, and the cost is greatly reduced. Further, the method is totally compatible with the CMOS processes, without the need of any special equipments.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 2, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Qiuxia Xu, Gaobo Xu
  • Patent number: 8278027
    Abstract: A manufacturing process technology creates a pattern on a first layer using a focused ion beam process. The pattern is transferred to a second layer, which may act as a traditional etch stop layer. The pattern can be formed on the second layer without irradiation by light through a reticle and without wet chemical developing, thereby enabling conformal coverage and very fine critical feature control. Both dark field patterns and light field patterns are disclosed, which may enable reduced or minimal exposure by the focused ion beam.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: October 2, 2012
    Assignee: Nexgen Semi Holding, Inc.
    Inventors: Jeffrey Scott, Michael Zani, Mark Bennahmias, Mark Mayse
  • Patent number: 8268515
    Abstract: A mask blank having, on a light-transmissive substrate, a light-shielding film made of a material mainly containing chromium, and adapted to use a resist film for electron beam writing when forming a transfer pattern in the light-shielding film. In the mask blank, an etching mask film made of a material containing a nitride or oxynitride of silicon is formed on an upper surface of the light-shielding film and a conductive mask film made of a conductive material dry-etchable with a fluorine-based gas and a mixed gas of chlorine and oxygen is formed on an upper surface of the etching mask film.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: September 18, 2012
    Assignee: Hoya Corporation
    Inventor: Osamu Nozawa
  • Patent number: 8268532
    Abstract: The invention relates to a method for forming microscopic structures. By scanning a focused particle beam over a substrate in the presence of a precursor fluid, a patterned seed layer is formed. By now growing this layer with Atomic Layer Deposition or Chemical Vapor Deposition, a high quality layer can be grown. An advantage of this method is that forming the seed layer takes relatively little time, as only a very thin layer needs to be deposited.
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: September 18, 2012
    Assignee: FEI Company
    Inventors: Alan Frank De Jong, Johannes Jacobus Lambertus Mulders, Wilhelmus Mathijs Marie Kessels, Adriaan Jacobus Martinus Mackus
  • Patent number: 8263295
    Abstract: A method for optical proximity correction (OPC) of a desired pattern for a substrate is disclosed in which a plurality of variable shaped beam (VSB) shots are determined which can form on a surface an OPC-corrected version of the desired substrate pattern. Shots within the plurality of VSB shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary with respect to each other. The union of the plurality of shots may deviate from the OPC-corrected version of the desired pattern for the substrate. In some embodiments, optimization may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots, that is, glyphs. A method for creating glyphs is also disclosed, in which patterns that would result on a surface from one or a group of VSB shots are pre-calculated.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: September 11, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8257888
    Abstract: A first exposure dose for a shot area based upon layout data is determined. A correction dose compensating a dose deviation between a first point in time, at which a control unit configured to control a shot time period of a particle beam writing apparatus considers a charged particle beam as having reached a nominal current density, and a second point in time, at which the charged particle beam has actually reached a nominal current density, at a target substrate is determined.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: September 4, 2012
    Assignee: Advanced Mask Technology Center GmbH + Co. KG
    Inventors: Martin Sczyrba, Christian Buergel, Eugen Foca
  • Patent number: 8236464
    Abstract: A method for making a mask, in which, an imprinting lithography process is employed to form a pattern in a first region of a mask substrate, and an E-beam writing process is employed to form another pattern in a second region of the mask substrate. Furthermore, these two patterns may be well stitched through an optical alignment process in an E-beam writing chamber.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: August 7, 2012
    Assignee: Inotera Memories, Inc.
    Inventor: Tah-Te Shih
  • Patent number: 8222621
    Abstract: In a maskless particle multibeam processing apparatus, a particle beam is projected through a pattern definition system producing a regular array of beamlets according to a desired pattern, which is projected onto a target which moves at continuous speed along a scanning direction with respect to the pattern definition system. During a sequence of uniformly timed exposure steps the beam image is moved along with the target along the scanning direction, and between exposure steps the location of the beam image is changed with respect to the target. During each exposure step the target covers a distance greater than the mutual distance of neighboring image elements on the target.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: July 17, 2012
    Assignee: IMS Nanofabrication AG
    Inventors: Heinrich Fragner, Elmar Platzgummer, Robert Nowak, Adrian Bürli
  • Patent number: 8221939
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein base dosages for a plurality of exposure passes are different from each other. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, with base dosage levels being different for different exposure passes.
    Type: Grant
    Filed: December 26, 2009
    Date of Patent: July 17, 2012
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8221940
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes does not equal a normal dosage. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes is different than a normal dosage.
    Type: Grant
    Filed: December 26, 2009
    Date of Patent: July 17, 2012
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8216766
    Abstract: A polymer having a rate of dissolution in an alkaline developer that increases under the action of acid is provided. The polymer is prepared by reacting a hydrogenated ROMP polymer with an O-alkylating agent in the presence of a base.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: July 10, 2012
    Assignees: Shin-Etsu Chemical Co., Ltd., Mitsui Chemicals, Inc.
    Inventors: Takeru Watanabe, Takeshi Kinsho, Tomohiro Kobayashi, Tadahiro Sunaga, Yuichi Okawa, Hirofumi Io
  • Patent number: 8206892
    Abstract: Devices having a thin film or laminate structure comprising hafnium and/or zirconium oxy hydroxy compounds, and methods for making such devices, are disclosed. The hafnium and zirconium compounds can be doped, typically with other metals, such as lanthanum. Examples of electronic devices or components that can be made include, without limitation, insulators, transistors and capacitors. A method for patterning a device using the materials as positive or negative resists or as functional device components also is described. For example, a master plate for imprint lithography can be made. An embodiment of a method for making a device having a corrosion barrier also is described. Embodiments of an optical device comprising an optical substrate and coating also are described. Embodiments of a physical ruler also are disclosed, such as for accurately measuring dimensions using an electron microscope.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: June 26, 2012
    Assignee: State of Oregon
    Inventors: Douglas A. Keszler, Jeremy Anderson, Jason K. Stowers
  • Patent number: 8202673
    Abstract: A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. In this method some shots within the plurality of shots overlap each other. Additionally, the union of any subset of the plurality of shots differ from the desired pattern. In some embodiments, dosages of the shots vary with respect to each other. In other embodiments, an optimization technique may be used to minimize shot count. In yet other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: June 19, 2012
    Assignee: D2S, Inc
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8202672
    Abstract: A method for fracturing or mask data preparation or proximity effect correction of a desired pattern to be formed on a reticle is disclosed in which a plurality of variable shaped beam (VSB) shots are determined which can form the desired pattern. Shots within the plurality of VSB shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary with respect to each other. The union of the plurality of shots may deviate from the desired pattern. The plurality of shots may be determined such that a pattern on the surface calculated from the plurality of shots is within a predetermined tolerance of the desired pattern. In some embodiments, an optimization technique may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: June 19, 2012
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8187787
    Abstract: Disclosed is a fluorine-containing unsaturated carboxylic acid represented by formula (1), wherein R1 represents a polymerizable double-bond containing group, R3 represents a fluorine atom or fluorine-containing alkyl group, and W represents a bivalent linking group. This compound can provide a fluorine-containing polymer compound that has a weight-average molecular weight of 1,000-1,000,000 and contains a repeating unit represented by formula (2), wherein R3 and W are defined as above, each of R4, R5 and R6 independently represents a hydrogen atom, fluorine atom or monovalent organic group, at least two of R4, R5 and R6 may be combined to form a ring. This polymer compound can provide a chemically amplified resist composition that is transparent to KrF or ArF excimer laser light and has a high resolution and is capable of forming a pattern having a rectangular section with no swelling.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: May 29, 2012
    Assignee: Central Glass Company, Limited
    Inventors: Yoshimi Isono, Jonathan Joachim Jodry, Satoru Narizuka, Kazuhiro Yamanaka
  • Patent number: 8178281
    Abstract: It is an object of the present invention to improve sensitivity of a resist made from hydrosilsesquioxane when a pattern is formed in the resist by irradiation with a charged particle beam. The method for improving sensitivity of a resist of the present invention is a method to improve sensitivity of a resist formed from hydrosilsesquioxane to a charged particle beam when a pattern is formed in the resist by irradiation with a charged particle beam, and is characterized by including prebaking a resist formed from hydrosilsesquioxane and applied onto a substrate at t° C. (20?t?300), applying a composition containing a water-soluble conductive polymer compound to a charged particle beam irradiation surface of the prebaked resist, baking the thus applied composition at T° C. (0?T<t+40) and then irradiating the resist with a charged particle beam.
    Type: Grant
    Filed: August 20, 2009
    Date of Patent: May 15, 2012
    Assignee: Showa Denko K.K.
    Inventors: Hirofumi Ohki, Ayako Nishioka, Takashi Ohkubo
  • Patent number: 8178280
    Abstract: A lithography method is disclosed. An exemplary lithography method includes providing an energy sensitive resist material on a substrate; providing a desired pattern; performing a lithography process on the substrate, wherein the lithography process includes exposing the energy sensitive resist material to a charged particle beam, such that the desired pattern is transferred to the energy sensitive resist material; and directing the charged particle beam from an off state to a defocus state, wherein the defocus state compensates for the backscattered energy, thereby reducing proximity effects.
    Type: Grant
    Filed: February 5, 2010
    Date of Patent: May 15, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chang, Shy-Jay Lin
  • Patent number: 8173335
    Abstract: Provided are beam ablation lithography methods capable of removing and manipulating material at the nanoscale. Also provided are nanoscale devices, nanogap field effect transistors, nano-wires, nano-crystals and artificial atoms made using the disclosed methods.
    Type: Grant
    Filed: July 13, 2007
    Date of Patent: May 8, 2012
    Assignee: The Trustees of the University of Pennsylvania
    Inventors: Marija Drndic, Michael D Fischbein
  • Patent number: 8158338
    Abstract: Methods and compositions for enhancing the sensitivity of a resist composition are disclosed. In one aspect, compositions for use with a matrix material (e.g., a lithographically sensitive polymeric material) can be formulated with an acid generator and a sensitizer, where the sensitizer can be present in a relatively small amount. The sensitizer can include a compound with one or more silicon-silicon bonds, and can act to enhance the efficiency of acid generation when the resist is impinged by a selected lithographic radiation. The methods of the present invention can be especially useful in performing short wavelength (e.g., less than 200 nm) lithography, or for processes such as e-beam lithography, which traditionally suffer from low throughput.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: April 17, 2012
    Assignee: Massachusetts Institute of Technology
    Inventor: Theodore H. Fedynyshyn
  • Patent number: 8158312
    Abstract: A charged particle beam exposure method that includes preparing of exposure data for a plurality of device patterns; obtaining of an integral of forward scattering components in an exposure intensity distribution with each of the device patterns near the center of the exposure intensity distribution as domain of integration; correcting of the shape of each of the plurality of device patterns by correcting the exposure data, so that the integral is equal to a reference value; and appropriating of mask patterns within an exposure mask to each of the device patterns following the correction, such that the center of gravity of each of the device patterns matches the center of gravity of the mask pattern appropriated thereto.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: April 17, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Kozo Ogino
  • Patent number: 8137871
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and for manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass.
    Type: Grant
    Filed: December 26, 2009
    Date of Patent: March 20, 2012
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8124319
    Abstract: A semiconductor lithography process. A photoresist film is coated on a substrate. The photoresist film is subjected to a flood exposure to blanket expose the photoresist film across the substrate to a first radiation with a relatively lower dosage. The photoresist film is then subjected to a main exposure using a photomask to expose the photoresist film in a step and scan manner to a second radiation with a relatively higher dosage. After baking, the photoresist film is developed.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: February 28, 2012
    Assignee: Nanya Technology Corp.
    Inventors: Pei-Lin Huang, Chun-Yen Huang, Yi-Ming Wang