Electron Beam Patents (Class 430/942)
  • Patent number: 7807988
    Abstract: Linear movement direction of the stage and the actual deflection direction of the electron beam deflected by the first command signal for deflecting the electron beam in the linear movement direction of the stage do not necessarily align with each other for reasons such as the disposition precision of the stage driving device, a lens system, and the deflecting device. Therefore, the first command signal output from the first command device is processed based on the angle between the linear movement direction of the stage driven by the stage driving device and the deflection direction of the electron beam deflected by the first command signal so that the deflection direction of the electron beam aligns with the linear movement direction of the stage. With this processed first command signal, the deflection direction of the electron beam can be changed (rotated) to align with the linear movement direction of the stage.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: October 5, 2010
    Assignee: FUJIFILM Corporation
    Inventors: Toshihiro Usa, Kazunori Komatsu
  • Patent number: 7800084
    Abstract: A charged-particle beam lithography system is provided. A region to be patterned is divided into plural frames, a main deflection positions a beam to a subfield within the frame, and an auxiliary deflection draws a pattern in units of subfield. The deflection control portion draws a pattern in units of stripe including a first frame drawing region and a second frame drawing region. The first frame drawing region corresponds to one of the frames, and the second frame drawing region is a region moved by a distance C from the first frame drawing region toward a frame to be drawn next. The deflection control portion controls the driver to alternately pattern a first sub-field drawing region in the first frame drawing region and a second sub-field drawing region in the second frame drawing region. The distance C satisfies 0<C<Ws. Ws is a width of the subfield.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: September 21, 2010
    Assignee: NuFlare Technology, Inc.
    Inventor: Shuichi Tamamushi
  • Patent number: 7799489
    Abstract: A method is disclosed for using non-overlapping variable shaped beam (VSB) shots in the design and manufacture of a reticle, where the union of the plurality of shots deviates from the desired pattern. Methods are described for fracturing or mask data preparation or proximity effect correction of a desired pattern to be formed on a reticle; for forming a pattern on a reticle using charged particle beam lithography; and for optical proximity correction (OPC) of a desired pattern. Dosages of the shots may be allowed to vary with respect to each other. The plurality of shots may be determined such that a pattern on the surface calculated from the plurality of shots is within a predetermined tolerance of the desired pattern. In some embodiments, an optimization technique may be used to minimize shot count.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: September 21, 2010
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 7794901
    Abstract: In a method of manufacturing a mask blank adapted to be formed with a resist pattern by electron beam writing and having a light-shielding film and an etching mask film of an inorganic-based material resistant to etching of the light-shielding film which are formed in this order on a transparent substrate, when forming the etching mask film, shielding is performed using a shielding plate so as to prevent the etching mask film from being formed at least at a side surface of the substrate.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: September 14, 2010
    Assignee: Hoya Corporation
    Inventors: Atsushi Kominato, Toshiyuki Suzuki, Yasushi Okubo
  • Patent number: 7771914
    Abstract: A resist composition comprises a polymer comprising recurring units having formula (1) wherein R1, R4, R7, and R14 are H or methyl, R2, R3, R15, and R16 are H, alkyl or fluoroalkyl, R is F or H, R5 is alkylene, R6 is fluorinated alkyl, R8 is a single bond or alkylene, R10 and R11 are H, F, methyl or trifluoromethyl, R12 and R13 are a single bond, —O— or —CR18R19—, R9, R18, and R19 are H, F, methyl or trifluoromethyl, R17 is alkylene, X1, X2 and X3 are —C(?O)—O—, —O—, or —C(?O)—R20—C(?O)—O— wherein R20 is alkylene, 0?(a-1)<1, 0?(a-2)<1, 0?(a-3)<1, 0<(a-1)+(a-2)+(a-3)<1, 0<b<1, and 0<(a-1)+(a-2)+(a-3)+b?1.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: August 10, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takao Yoshihara, Yuji Harada, Wataru Kusaki
  • Patent number: 7759027
    Abstract: A method for fracturing or mask data preparation or proximity effect correction is disclosed which comprises the steps of inputting patterns to be formed on a surface, a subset of the patterns being slightly different variations of each other and selecting a set of characters some of which are complex characters to be used to form the number of patterns, and reducing shot count or total write time by use of a character varying technique. A system for fracturing or mask data preparation or proximity effect correction is also disclosed.
    Type: Grant
    Filed: September 1, 2008
    Date of Patent: July 20, 2010
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser, Takashi Mitsuhashi, Kazuyuki Hagiwara
  • Patent number: 7759660
    Abstract: Methods to reduce the write time for forming mask patterns having angled and non-angled features using electron beam lithography are disclosed. In one exemplary embodiment, non-angled features of the mask pattern are formed by exposure to an electron beam. The orientation of the substrate and a path of the generally rectangular-shaped shot from the electron beam may be relatively altered such that the substrate is exposed to the electron beam to form the angled features as if they were non-angled features. In another exemplary embodiment, the electron beam lithography system determines whether it is necessary to relatively alter the orientation of the substrate and a path of the generally rectangular-shaped shot from the electron beam to form the angled features based on the number of angled features and the time required for relatively altering the orientation. Electron beam lithography systems employing a rotatable stage, rotatable apertures, or both, are also disclosed.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: July 20, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Baorui Yang
  • Patent number: 7759026
    Abstract: A method for manufacturing a surface, the surface having a multiplicity of slightly different patterns, is disclosed with the method comprising the steps of designing a stencil mask having a set of characters for forming the patterns on the surface and reducing shot count or total write time by use of a character varying technique. A system for manufacturing a surface is also disclosed.
    Type: Grant
    Filed: September 1, 2008
    Date of Patent: July 20, 2010
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser, Takashi Mitsuhashi, Kazuyuki Hagiwara
  • Patent number: 7754401
    Abstract: A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. Shots within the plurality of shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary. The union of the plurality of shots may deviate from the desired pattern. The plurality of shots may be determined such that a pattern on the surface calculated from the plurality of shots is within a predetermined tolerance of the desired pattern. In some embodiments, an optimization technique may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: July 13, 2010
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 7749677
    Abstract: The negative resist composition of the present invention comprises a silsesguioxane resin (A) comprising a constituent unit (a1) represented by the following general formula (I) and a constituent unit (a2) represented by the following general formula (II), an acid generator component (B) which generates an acid upon exposure, and a crosslinking agent component (C): wherein R1 represents a linear or branched alkylene group having 1 to 5 carbon atoms, and
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: July 6, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Tomoyuki Ando
  • Patent number: 7745078
    Abstract: A method for manufacturing a surface, the surface having a multiplicity of slightly different patterns, is disclosed with the method comprising the steps of designing a stencil mask having a set of characters for forming the patterns on the surface and reducing shot count or total write time by use of a character varying technique. A system for manufacturing a surface is also disclosed.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: June 29, 2010
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser, Takashi Mitsuhashi, Kazuyuki Hagiwara
  • Patent number: 7736838
    Abstract: Provided methods for forming a pattern using electron beam and cell masks for electron beam lithography. The methods may include forming a resist layer on a substrate, the resist layer including a first region, a second region surrounding the first region, and a third region surrounding the second region. The second may be irradiated with electron beam at a first dose, and the third region may be irradiated with an electron beam at a second dose less than the first dose. The cell mask may include a mask substrate and a shielding region disposed on the mask substrate. A transmitting region may extend a distance from the shielding region. A gray pattern region may be disposed around the transmitting region. The gray pattern region may include patterns having a pitch smaller than a resolution limit.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Bom Kim, Seong-Woon Choi
  • Patent number: 7736842
    Abstract: A resist composition for electron beam or extreme ultraviolet (EUV), comprising a resin component (A) which exhibits changed alkali solubility under action of acid, and a photoacid generator component (B) that generates acid on exposure, wherein the component (B) comprises at least one onium salt selected from the group consisting of onium salts having an anion represented by formula (b-0-1) or (b-0-2) shown below: wherein X represents an alkylene group having 2 to 6 carbon atoms, in which at least one hydrogen atom is substituted with a fluorine atom; and each of Y and Z independently represents an alkyl group having 1 to 10 atoms, in which at least one hydrogen atom is substituted with a fluorine atom.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: June 15, 2010
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hideo Hada, Daiju Shiono, Hiroo Kinoshita, Takeo Watanabe
  • Patent number: 7723016
    Abstract: The resist material contains a photo-acid generator having an absorption peak to exposure light having a wavelength of less than 300 nm, and a second photo-acid generator having an absorption peak to exposure light having a wavelength of 300 nm or more. The method for forming a resist pattern comprises a step for selectively exposing which exposes a coating film of the resist material to an exposure light having a wavelength of less than 300 nm, and a step for selectively exposing by using an exposure light having a wavelength of 300 nm or more. The semiconductor device comprises a pattern formed by the resist pattern. The method for forming a semiconductor device comprises a step for forming a resist pattern on an underlying layer by the aforementioned manufacturing method, and a step for patterning the underlying layer by etching using the resist pattern as a mask.
    Type: Grant
    Filed: November 13, 2008
    Date of Patent: May 25, 2010
    Assignee: Fujitsu Limited
    Inventors: Junichi Kon, Ei Yano
  • Patent number: 7718345
    Abstract: A composite photoresist structure includes a first organic layer disposed over a substrate to be etched, a sacrificial layer disposed on the first organic layer, and a second organic layer disposed on the sacrificial layer. The thickness of the first organic layer and the thickness of the second organic layer are both larger than the thickness of the sacrificial layer.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: May 18, 2010
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 7709165
    Abstract: An aspect of the present invention includes a method for patterning a workpiece covered at least partly with a layer sensitive to electromagnetic radiation by using a plurality of exposure beams having a predetermined separation in at least a first direction for exposing a pattern onto said workpiece, where said predetermined separation is fixed to an initial system pitch in said first direction, comprising the actions of: scaling a pattern pitch in said first direction to be an integer multiple of said system pitch, adjusting the initial system pitch in said first direction to be an adjusted system pitch to maintain a scale of said pattern, adjusting said predetermined separation of exposure beams to said adjusted system pitch.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: May 4, 2010
    Assignee: Micronic Laser Systems AB
    Inventor: Peter Ekberg
  • Patent number: 7704677
    Abstract: A method of patterning a conductive polymer, an organic light emitting device (OLED) manufactured using the method of patterning a conductive polymer, and a method of manufacturing the OLED are provided. The method of patterning a conductive polymer includes forming a conductive polymer layer on a substrate, aligning a shadow mask above the conductive polymer layer, and forming a conductive polymer pattern area and an insulating area in the conductive polymer layer by radiating charged particle beams through the shadow mask.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: April 27, 2010
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Sang-Yeol Kim, In-Nam Kang, Tae-Woo Lee, Bon-Won Koo, Sang-Hoon Park, Yu-Jin Kim, Mu-Gyeom Kim, Jong-Jin Park
  • Patent number: 7704653
    Abstract: A method and tool for conducting charged-particle beam direct write lithography is disclosed. A disclosed method involves condensing an initial design file down to a set of profiles and a pattern of relative locations to form a formatted pattern file. The formatted pattern file is adjusted to accommodate desired pattern corrections. Portions of the formatted pattern records are extracted to form data strips that have a plurality of channels with a pattern of profiles and spatial indicators. Data strips are sequentially read to construct a printable pattern of profiles and spatial indicators that specify the locations of the profiles. Additionally, the pattern of profiles are sequentially printed from each data strip onto a substrate to form the desired pattern on the substrate.
    Type: Grant
    Filed: January 18, 2007
    Date of Patent: April 27, 2010
    Assignee: KLA-Tencor Corporation
    Inventors: Vincenzo Lordi, Shem-Tov Levi, Harald F. Hess
  • Patent number: 7691549
    Abstract: A method for forming high resolution patterns on a substrate surface is disclosed. A photolithographic patterning tool is loaded with a substrate having a photoimagable layer. Multiple exposures to using interference patterns and developments are performed on the photoimagable layer to define a composite line pattern in the photoimagable layer. The composite line pattern having a greater pitch density than possible with single exposure with the same photolithographic patterning tool. The lines of the composite line pattern are selectively cut or trimmed at a plurality of locations to define a desired pattern in the photoimageable layer. The cuts can themselves be achieved with a plurality of photomasks or exposure to direct write tools to achieve densities beyond that allowed by k1>0.25 limit.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: April 6, 2010
    Assignee: KLA-Tencor Technologies Corporation
    Inventor: Lance A. Glasser
  • Patent number: 7691550
    Abstract: The invention provides a method for making a printing form having a relief surface on a floor from a photosensitive element. The method involves generating a polymerization rate curve for the photosensitive element from a step exposure test by measuring a cure response, such as floor thickness or one or more relief image characteristic/s, of the element relative to an energy density of a source of actinic radiation. The method exposes a photosensitive element to the source of actinic radiation based on energy density that accounts for changes in intensity of lamps used for the source of actinic radiation.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: April 6, 2010
    Assignee: E.I. du Pont de Nemours and Company
    Inventor: Steven Goldfarb
  • Patent number: 7691548
    Abstract: There is provided a method of manufacturing a photomask for forming a semiconductor pattern. The method may include forming a plurality of dies including a main pattern, and forming a pseudo pattern to an area adjacent to the main pattern between the plurality of dies. A multi developing process of sequentially and repeatedly supplying a developer on the mask, supplying DI water on the mask, and drying the mask may be performed in manufacturing the mask.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: April 6, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seong-yoon Kim
  • Patent number: 7687210
    Abstract: A method for manufacturing a stitched space in a semiconductor circuit implements a photolithographic process for printing one or more image fields on a wafer surface, each image field corresponding to a portion of a circuit or device and including a space that is to be stitched in adjacent image fields. The space to be stitched that is produced from an image field is overlapped onto the space to be stitched produced from the adjacent image field, however, the overlapped space from the adjacent image fields is intentionally misaligned. The stitched space is then subject to the double light exposure dose to print the stitched space, with the result that an overlay tolerance of the stitched space is improved.
    Type: Grant
    Filed: June 25, 2007
    Date of Patent: March 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Robert K. Leidy, Paul D. Sonntag, Peter J. Sullivan
  • Patent number: 7655381
    Abstract: The invention relates to a method for producing a substrate having a resist layer in the form of a relief structure, which represents a diffraction structure. The resist layer at least in certain areas adjoins a conductive layer, which scatters the primary electrons and/or produces secondary electrons when the resist layer is exposed by means of an electron beam. With this method the material of the resist layer and the conductive layer and the exposure parameters are adjusted to each other such that the resist layer is also exposed outside the area impinged with the electron beam such that the flanks of the relief structure obtain an inclined form.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: February 2, 2010
    Assignee: Giesecke & Devrient GmbH
    Inventor: Wittich Kaule
  • Patent number: 7655364
    Abstract: In a method of manufacturing a mask blank adapted to be formed with a resist pattern by electron beam writing and having a light-shielding film and an etching mask film of an inorganic-based material resistant to etching of the light-shielding film which are formed in this order on a transparent substrate, when forming the etching mask film, shielding is performed using a shielding plate so as to prevent the etching mask film from being formed at least at a side surface of the substrate.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: February 2, 2010
    Assignee: Hoya Corporation
    Inventors: Atsushi Kominato, Toshiyuki Suzuki, Yasushi Okubo
  • Patent number: 7651829
    Abstract: Provided is a positive resist material, particularly a chemically amplified positive resist material having higher sensitivity, higher resolution, a higher exposure latitude and better process adaptability than conventional positive resist materials, and providing a good pattern profile after exposure, particularly having lessened line edge roughness and exhibiting excellent etching resistance. These materials may contain, preferably an organic solvent and acid generator, more preferably a dissolution inhibitor or a basic compound and/or a surfactant. Provided is a positive resist material comprising a polymer comprising at least one monomer unit selected from a group consisting of a monomer unit (A), a monomer unit (B) and a monomer unit (C) represented by the following formula (1); and having a glass transition temperature (Tg) of 100° C. or greater.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: January 26, 2010
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yoshitaka Hamada, Fujio Yagihashi, Mutsuo Nakashima, Kazumi Noda, Katsuya Takemura
  • Patent number: 7648820
    Abstract: Antireflective hardmask compositions and techniques for the use of antireflective hardmask compositions for processing of semiconductor devices are provided. In one aspect of the invention, an antireflective hardmask layer for lithography is provided. The antireflective hardmask layer comprises a carbosilane polymer backbone comprising at least one chromophore moiety and at least one transparent moiety; and a crosslinking component. In another aspect of the invention, a method for processing a semiconductor device is provided. The method comprises the steps of: providing a material layer on a substrate; forming an antireflective hardmask layer over the material layer. The antireflective hardmask layer comprises a carbosilane polymer backbone comprising at least one chromophore moiety and at least one transparent moiety; and a crosslinking component.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: January 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Katherina Babich, Elbert Huang, Arpan P. Mahorowala, David R. Medeiros, Dirk Pfeiffer, Karen Temple
  • Patent number: 7648809
    Abstract: An EB exposure method includes dividing drawing layer pattern to be transferred onto drawing layer by EB exposure and underlying pattern to be transferred onto an underlying layer of the drawing layer by the EB exposure respectively into unit regions, setting representative figure in each of the unit regions of the drawing and underlying layers, the representative figure set in each of the unit regions of the drawing layer corresponding to the drawing layer pattern of each of the unit regions of the drawing layer, the representative figure set in each of the unit regions of the underlying layer corresponding to the underlying layer pattern of each of the unit regions of the underlying layer, and obtaining influence of proximity effect of an arbitrary region of the drawing layer pattern, based on the representative figure that corresponds to the drawing and underlying layer patterns.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: January 19, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tetsuro Nakasugi
  • Patent number: 7638247
    Abstract: Optimized dose assignments are determined for each portion of a layout by utilizing an improved proximity function and additional dose correction functions in performing a short range proximity effect correction. The optimized dose assignments are determined to minimize critical dimension (CD) deviations and maintain CD linearity across different feature sizes. The improved proximity function and additional dose correction functions are determined by calibration based on experimental CD measurements of test designs. The improved proximity function includes a sum of more than two Gaussian functions, each having an associated effect range and an associated weight, wherein one or more of the associated weights may be negative. The additional dose correction functions include an iso-dense bias correction function and a dose evaluation point displacement function for line end shortening correction.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: December 29, 2009
    Assignee: PDF Solutions, Inc.
    Inventors: Nikola Belic, Hans Eisenmann
  • Patent number: 7635547
    Abstract: A stencil mask includes a membrane forming thin layer having membrane areas and a border area that limits the membrane areas. The membrane areas have a plurality of pattern areas which include an aperture through which particle beams can permeate and non-pattern areas interposed between the pattern areas. A main strut supports the membrane areas and is formed on the border area of the membrane forming thin layer. An auxiliary strut is formed in the non-pattern areas inside the membrane pattern area such that the auxiliary strut divides the membrane areas into plural divided membrane areas. The auxiliary strut supports the divided membrane areas.
    Type: Grant
    Filed: May 5, 2008
    Date of Patent: December 22, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Sung Kim, Ho-Chul Kim
  • Patent number: 7633712
    Abstract: A write pole for vertical magnetic recording is described. It includes a trapezoidal prism of high magnetic moment material, having inwardly sloping sidewalls. Its parallel surfaces are between about 0.1 and 0.3 microns apart and the sidewalls slope in the range of 15.5 to 60 degrees relative to vertical.
    Type: Grant
    Filed: April 10, 2008
    Date of Patent: December 15, 2009
    Assignee: Headway Technologies, Inc.
    Inventors: Chao-Peng Chen, Jei-Wei Chang, Xiaohong Yang
  • Patent number: 7633061
    Abstract: It is difficult for a material having low resistance to electron beam irradiation to obtain an electron microscopic image having a high S/N ratio. A conventional image smoothing process can improve stability of measurement, but this process has a problem of measurement errors for absolute values, reduction of sensitivity, deterioration of quality of cubic shape information and the like. In the present invention, by performing an image averaging process without deteriorating cubic shape information of a signal waveform in consideration of dimension deviation of a measurement target pattern, measurement stability is compatible with improvement of precision and sensitivity. Accordingly, it is possible to realize measurement of pattern dimensions and shapes with high precision and control of a highly sensitive semiconductor manufacturing process using the measurement.
    Type: Grant
    Filed: February 21, 2008
    Date of Patent: December 15, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Maki Tanaka, Chie Shishido, Wataru Nagatomo
  • Patent number: 7629092
    Abstract: In performing exposure for forming patterns being fine as well as having great density difference, adequate corrections are to be enabled for suppressing the influence from peripheries of these patterns to be a minimum and for suppressing the dimension variation within the plane of semiconductor substrate or among semiconductor substrates to a minimum. So-called lower-layer corrections are executed, in order to suppress the three-dimensional influence, namely the influence of the film-thickness distribution of a lower-layer structure body lying under a subject film to be processed with a resist. A pattern-correcting portion adjusts the amount of exposure such that it cancels the in-plane film-thickness distribution of the lower-layer structure body in respective exposure regions.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: December 8, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Keiji Yamada
  • Patent number: 7625679
    Abstract: A significant improvement in the alignment of a particle-beam-generated pattern relative to a pre-existing pattern present on a substrate has been accomplished using optical measurement to register the particle beam to the pre-existing pattern. Use of a position fiducial which can be accurately measured by both an optical microscope and a particle beam axis is used to align a pre-existing pattern with a particle-beam-generated pattern during writing of the particle-beam-generated pattern. Registration of the pre-existing pattern to the fiducial and registration of the particle beam axis to the fiducial periodically during production of the particle-beam-generated pattern continually provides an improvement in the overall alignment of the pattern being created to the pre-existing pattern on the substrate. The improved method of alignment can be used to correct for drift, or thermal expansion, or gravitational sag, by way of example.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: December 1, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey S. Sullivan, Tony Tiecheng Young
  • Patent number: 7625687
    Abstract: This invention pertains to a silsesquioxane resin with improved lithographic properties (such as etch-resistance, transparency, resolution, sensitivity, focus latitude, line edge roughness, and adhesion) suitable as a photoresist; a method for in-corporating the fluorinated or non-fluorinated functional groups onto silsesquioxane backbone. The silsesquioxane resins of this invention has the general structure (HSiO3/2)a(RSiO3/2)b wherein; R is an acid dissociable group, a has a value of 0.2 to 0.9 and b has a value of 0.1 to 0.8 and 0.9?a+b?1.0.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: December 1, 2009
    Assignee: Dow Corning Corporation
    Inventors: Sanlin Hu, Eric Scott Moyer, Sheng Wang, David Lee Wyman
  • Patent number: 7622242
    Abstract: A resist composition comprises a base polymer which changes its alkali solubility under the action of an acid, and an additive copolymer comprising recurring units (a) and (b). R1 is F or CF3, R2 and R3 are H or alkyl or form a ring, R4 is H or an acid labile group, R5 to R6 are H, F, or alkyl, or two of R5 to R8 may together form a ring, m=0 or 1, 0.2?a?0.8, and 0.1?b?0.6. A resist film of the composition has good barrier property against water so that leaching of the resist film with water is controlled, minimizing a change of pattern profile due to leach-out.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: November 24, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa, Takeru Watanabe, Yuji Harada
  • Patent number: 7608368
    Abstract: A pattern forming method includes developing a resist film on a main surface of a substrate by flowing a developing solution on the film to form a resist pattern, the developing the film including partitioning the surface into M (?2) regions and determining correction exposure dose for each of the M region, the determining the correction exposure dose including determining a correction exposure dose for an i-th (1?i?M) region so that an actual pattern dimension of a pattern on the i-th region matches a design pattern dimension based on a pattern opening ratio of a pattern to be formed on the substrate, the pattern being located on a region which is further upstream region than the i-th region with respect to an upstream direction of a flow of the solution, and forming the pattern by etching the substrate using the resist pattern as a mask.
    Type: Grant
    Filed: January 31, 2006
    Date of Patent: October 27, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Sakurai, Tooru Shibata, Masato Saito, Masamitsu Itoh
  • Patent number: 7608387
    Abstract: An exemplary method for fabricating a mold core includes the following steps. First, a substrate is provided. Second, a photo resist layer is formed on the substrate, the photo resist layer has a top surface. Third, the photo resist layer is etched using a direct writing process to form the top surface thereof into a substantially aspherical stepped surface. Lastly, the photo resist layer is softened using a reflow process to transform the substantially aspherical stepped surface into a substantially aspherical smooth molding surface. Thereby, a mold core having the substantially aspherical smooth molding surface is obtained.
    Type: Grant
    Filed: January 15, 2009
    Date of Patent: October 27, 2009
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Sei-Ping Louh
  • Patent number: 7608845
    Abstract: A charged particle beam writing apparatus includes a first part configured, based on pattern data, to estimate a total writing time, a second part configured to acquire a base dose at an arbitrary time, after writing start time and within the total writing time by using a first correlation among a time having passed since the writing start time, the total writing time, and the base dose, a third part configured to acquire a fogging effect correction coefficient at the arbitrary time by using a second correlation among the time, the total writing time and the coefficient, a forth part configured to calculate a beam dose at the arbitrary time by using the base dose and the coefficient, a fifth part configured to calculate a beam irradiation time based on the beam dose, a deflector for deflecting the beam, and an aperture for blocking the beam.
    Type: Grant
    Filed: November 19, 2007
    Date of Patent: October 27, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Takayuki Abe, Junichi Suzuki, Tomohiro Iijima, Hideyuki Tsurumaki
  • Patent number: 7605383
    Abstract: A charged particle beam pattern writing apparatus includes an input part for inputting a predetermined command, a check part for checking a state of a predetermined function used for pattern writing using a charged particle beam, based on the predetermined command, and an output part for outputting the state of the predetermined function which has been checked.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: October 20, 2009
    Assignee: NuFlare Technology, Inc.
    Inventors: Tomoyuki Horiuchi, Takeshi Kurohori
  • Patent number: 7592103
    Abstract: A writing pattern to be a correcting object is divided by a rough mesh for a Foggy effect correction and a fine mesh for a proximity effect correction, a rate of an area occupied by the pattern to be written for each of the meshes is obtained, a stored energy based on a Foggy effect and a proximity effect in execution of exposure in a state in which a correction for a calculating object mesh is not carried out at all is calculated, an dose in the fine mesh for a proximity effect correction is obtained by a first calculation in such a manner that an influence of the Foggy effect and the proximity effect is reduced and a pattern and a dimension which can disregard the influence of the Foggy effect and the proximity effect are coincident with each other by the stored energy which is calculated, are calculation is carried out in such a manner that the pattern and the dimension which can disregard the influence of the Foggy effect and the proximity effect are coincident with each other with the influence of the Fo
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: September 22, 2009
    Assignee: Hoya Corporation
    Inventor: Yasuki Kimura
  • Patent number: 7569326
    Abstract: A sulfonium salt having a polymerizable anion generates a strong sulfonic acid upon exposure to high-energy radiation so that it facilitates effective scission of acid labile groups in chemically amplified resist compositions. It is useful as a monomer from which a base resin for use in radiation-sensitive resist compositions is derived.
    Type: Grant
    Filed: October 25, 2007
    Date of Patent: August 4, 2009
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Youichi Ohsawa, Masaki Ohashi, Seiichiro Tachibana, Jun Hatakeyama, Takeru Watanabe
  • Patent number: 7566527
    Abstract: A resist composition and a method for forming a patterned feature on a substrate. The composition comprises a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, and a photosensitive acid generator. The method includes providing a composition including a photosensitive acid generator and a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, forming a film of the composition on the substrate, patternwise imaging the film, wherein at least one region of the film is exposed to radiation or a beam of particles, resulting in production of an acid catalyst in the exposed region, baking the film, developing the film, resulting in removal of base-soluble exposed regions, wherein a patterned feature from the film remains following the removal.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: James J. Bucchignano, Wu-Song Huang, Pushkara R. Varanasi, Roy R. Yu
  • Patent number: 7553606
    Abstract: Disclosed is a method of forming patterns in semiconductor devices by using photo resist patterns. These methods comprise forming photo resist patterns on a substrate. Inferior patterns are selected among the photo resist patterns. The inferior patterns are eliminated or shrunken by irradiating the selected inferior patterns with an electron beam.
    Type: Grant
    Filed: October 4, 2006
    Date of Patent: June 30, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Gun Kang, Jin-Mo Kang, Jae-Ho Lee, Jun-Seop Lee
  • Patent number: 7550747
    Abstract: An array of vertically aligned electron emitting nanotips such as multiwall carbon nanotubes are formed and patterned for use as a lithographic stamp. The spacing and/or arrangement of the nanotips correspond to a predetermined pattern that is desired to be formed on an opposing substrate. Simultaneous actuation of the nanotips by a common electrode forms a pattern on the opposing substrate without any necessary scanning techniques or use of masks. Applying a sufficient electrical potential between the array and the substrate generates electron emission from the tips so as to cure a resist, produce localized electrochemical reactions, establish localized electrostatic charge distributions or perform other desirable coating or etching process steps so as to create nanoelectronic circuitry or to facilitate molecular or nanoscale processing.
    Type: Grant
    Filed: April 3, 2006
    Date of Patent: June 23, 2009
    Inventor: Blaise Laurent Mouttet
  • Patent number: 7537869
    Abstract: A method for evaluating a pattern formation process includes applying a photoresist on a substrate, transferring a first pattern and a second pattern adjacent to or at least partly overlapped with each other to the photoresist, wherein the first pattern includes a plurality of lines consisting of transparent regions, having the same length and a line-width less than or on the order of wavelengths of visible light, periodically located parallel to one another with end portions aligned on both sides thereof, and the second pattern comprises a transparent region having a larger area compared with each of the lines, and determining, in a first pattern formed on the substrate with the first pattern transferred to the photoresist, by an optical means, an amount of shorting of the lines in a direction parallel to the line.
    Type: Grant
    Filed: April 19, 2005
    Date of Patent: May 26, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masafumi Asano, Kazuya Fukuhara
  • Patent number: 7521168
    Abstract: A resist composition for an electron beam, EUV or X-ray comprising (A1) a compound that has a reduction potential higher than that of diphenyl iodonium salt and generates an acid upon irradiation of an actinic ray or radiation.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: April 21, 2009
    Assignee: Fujifilm Corporation
    Inventors: Kazuyoshi Mizutani, Hyou Takahashi
  • Patent number: 7514197
    Abstract: The resist according to the present invention includes any one of tetrachloromethyl tetramethoxycalix [4] arene and trichloromethyl tetramethoxycalix [4] arene. The resist including such kind of components is soluble in the solvent having less effect to worsen a working environment, namely, ethyl lactate (EL), propylene glycol monomethyl ether (PGME), propylene glycol monomethyl ether acetate (PGMEA), ethyl propionate, n-butyl acetate and 2-heptanone. It can be developed by tetra-methyl ammonium hydroxide in addition to the above mentioned solvent. By exposing this resist by electronic ray, high resolution of 8 nm is attained, and by using this resist as a mask, various materials can be formed into a hyperfine shape. According to such kind of resist, a photosensitive resist material which has high resolution and solvable to solvents having less effect to worsen the working environment and can be developed by the solvents, a exposure method using it, and a hyperfine processing method using it are provided.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: April 7, 2009
    Assignees: NEC Corporation, Tokuyama Corporation
    Inventors: Yukinori Ochiai, Masahiko Ishida, Junichi Fujita, Takashi Ogura, Junji Momoda, Eiji Oshima
  • Patent number: 7501214
    Abstract: A semiconductor device fabrication method includes preparing a substrate having a first circuit pattern of a semiconductor device; providing a mask with at least part of second circuit pattern of the semiconductor device; collimating incident direction of particles; changing at least one of the a substrate angle between a vertical axis of the substrate and the incident direction of the particles and a mask angle between a vertical axis of the mask and the incident direction so that the second circuit pattern on the mask can be aligned to the first circuit pattern on the substrate with a design margin; and selectively irradiating the particles to the substrate using the mask.
    Type: Grant
    Filed: October 21, 2004
    Date of Patent: March 10, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takeshi Shibata
  • Patent number: 7498591
    Abstract: A method for generating a flash. The method includes computing dose correction multipliers taking into account fogging scattering effects, backscattering effects and fast secondary scattering effects; and using the dose correction multipliers to generate the flash.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: March 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Richard L. Lozes, Benyamin Buller
  • Patent number: 7476879
    Abstract: A method for generating a charged particle beam flash. The method includes computing an array of dose correction multipliers, based, at least in part, on a resist sensitivity correction factor, and computing a displacement vector to account for placement effects, such as resist charging. The displacement vector is defined as {right arrow over (?)}c=dP{circle around (×)}{right arrow over (K)} , where {right arrow over (?)}c—represents the displacement vector, d represents the array of dose correction multipliers, P represents pattern exposure data, {circle around (×)} represents a mathematical convolution operation, and {right arrow over (K)} represents a Poisson kernel converted to a spatial domain. The method further includes using the displacement vector to modify position of the charged particle beam flash.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: January 13, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Richard L. Lozes, Benyamin Buller