Electron Beam Patents (Class 430/942)
  • Patent number: 8735046
    Abstract: A polymer obtained from copolymerization of a recurring unit having a carboxyl group and/or phenolic hydroxyl group substituted with an acid labile group with a methacrylate having a phenolic hydroxyl-bearing pyridine is useful as a base resin in a positive resist composition. The resist composition comprising the polymer is improved in contrast of alkali dissolution rate before and after exposure, acid diffusion control, resolution, and profile and edge roughness of a pattern after exposure.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: May 27, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Koji Hasegawa
  • Patent number: 8735048
    Abstract: An actinic ray-sensitive or radiation-sensitive resin composition comprising (P) a resin having a repeating unit represented by the following formula (1), a resist film using the composition, and a pattern forming method.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: May 27, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Inasaki, Takayuki Ito, Tomotaka Tsuchimura, Tadateru Yatsuo, Koutarou Takahashi
  • Patent number: 8722286
    Abstract: A device for reflective electron-beam lithography and methods of producing the same are described. The device includes a substrate, a plurality of conductive layers formed on the substrate, which are parallel to each other and separated by insulating pillar structures, and a plurality of apertures in each conductive layer. Apertures in each conductive layer are vertically aligned with the apertures in other conductive layers and a periphery of each aperture includes conductive layers that are suspended.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: May 13, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Jaw-Jung Shin, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 8715919
    Abstract: Lithography methods on a semiconductor substrate are described. The methods include coating a resist layer on the substrate, wherein the resist layer comprises a resist polymer configured to turn soluble to a base solution in response to reaction with an acid, and a switchable polymer that includes a base soluble polymer having a carboxylic acid, hydroxyl, lactone, or anhydride functional group, performing a pre-exposure bake on the resist layer, exposing the resist-coated substrate, and developing the exposed substrate with a developing solution.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: May 6, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Chih-Cheng Chiu
  • Patent number: 8703369
    Abstract: In one or more embodiments, the disclosure relates to a method of setting a photolithography exposure machine, comprising: forming on a photolithography mask test patterns and circuit patterns, transferring the patterns to a resin layer covering a wafer, measuring a critical dimension of each test pattern transferred, and determining a focus setting error value of the photolithography machine from the measure of the critical dimension of each pattern, the test patterns formed on the mask comprising a first reference test pattern and a second test pattern forming for a photon beam emitted by the photolithography machine and going through the mask, an optical path having a length different from an optical path formed by the first test pattern and the circuit patterns formed on the mask.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: April 22, 2014
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Nicolas Spaziani, Jean Massin
  • Patent number: 8703389
    Abstract: In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (?f). At least some shots in the plurality of shots overlap other shots. In some embodiments, ?f is reduced by controlling the amount of shot overlap in the plurality of shots, either during initial shot determination, or in a post-processing step. The reduced sensitivity to ?f expands the process window for the charged particle beam lithography process.
    Type: Grant
    Filed: June 25, 2011
    Date of Patent: April 22, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Ingo Bork
  • Patent number: 8703383
    Abstract: A copolymer has formula: wherein R1-R5 are independently H, C1-6 alkyl, or C4-6 aryl, R6 is a fluorinated or non-fluorinated C5-30 acid decomposable group; each Ar is a monocyclic, polycyclic, or fused polycyclic C6-20 aryl group; each R7 and R8 is —OR11 or —C(CF3)2OR11 where each R11 is H, a fluorinated or non-fluorinated C5-30 acid decomposable group, or a combination; each R9 is independently F, a C1-10 alkyl, C1-10 fluoroalkyl, C1-10 alkoxy, or a C1-10 fluoroalkoxy group; R10 is a cation-bound C10-40 photoacid generator-containing group, mole fractions a, b, and d are 0 to 0.80, c is 0.01 to 0.80, e is 0 to 0.50 provided where a, b, and d are 0, e is greater than 0, the sum a+b+c+d+e is 1, l and m are integers of 1 to 4, and n is an integer of 0 to 5. A photoresist and coated substrate, each include the copolymer.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: April 22, 2014
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: James W. Thackeray, Emad Aqad, Su Jin Kang, Owendi Ongayi
  • Patent number: 8697317
    Abstract: A method including loading a blank reticle; projecting an electron beam; moving a second aperture plate having a first and second pattern aperture so the first pattern aperture is overlapped by a first aperture of a first aperture plate, the electron beam passing through the first pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam that passes the first pattern aperture to form a first exposure pattern; moving the second aperture plate so the second pattern aperture is overlapped by the first aperture of the first aperture plate, the electron beam passing through the second pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam after passing the second pattern aperture, to form a second exposure pattern; and developing the blank reticle having the first and second exposure patterns to form the reticle having first and second patterns.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: April 15, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Jin-Ha Jeong, Urazaev Vladimir, Hea-Yun Lee
  • Patent number: 8679728
    Abstract: A method for fabricating a patterned layer is disclosed. Firstly, a semiconductor substrate is provided. Then, a precursory gas on the semiconductor substrate is formed. Finally, a patterned layer on the semiconductor substrate is deposited by reacting the precursory gas with at least one electron beam or at least one ion beam. The present invention not only fabricates a patterned layer on the substrate in a single step but also achieves a high lithographic resolution and avoids remains of contaminations by using the properties of the electron beam or the ion beam and the precursory gas.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: March 25, 2014
    Assignee: National Applied Research Laboratories
    Inventors: Chien-Chao Huang, Chun-Chi Chen, Shyi-Long Shy, Cheng-San Wu, Fu-Liang Yang
  • Patent number: 8673542
    Abstract: There is disclosed a lithography method and system implemented by a charged particle beam passed through a shaping slit member having plural circular apertures of different diameters. The method and system operate to delineate a circular pattern by shooting the shaped circular beam passed through the desired circular aperture onto a workpiece. The method and system consists of causing circular beams shaped using different ones of the circular apertures to be shot onto the workpiece such that the circular beams are coincident with each other in center position to thereby delineate a circular pattern of a desired size. Consequently, circular patterns in a wide range of sizes can be obtained, although a limited number of circular apertures are used.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: March 18, 2014
    Assignee: JEOL Ltd.
    Inventors: Taichi Kiuchi, Takahisa Hasegawa
  • Patent number: 8673522
    Abstract: A method for manufacturing a photomask includes forming a photoresist film on a substrate, and forming a defect detecting pattern on the photoresist film. The defect detecting pattern has a first pattern elongated in a first direction and a second pattern overlapping one end of the first pattern and elongated in a second direction different from the first direction. The first pattern and the second pattern are formed using electron beams (e-beam) diffracted by a same amplifier.
    Type: Grant
    Filed: August 9, 2012
    Date of Patent: March 18, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Byung-Gook Kim, Hee-Bom Kim, Sang-Hee Lee
  • Patent number: 8673538
    Abstract: Provided is an actinic ray-sensitive or radiation-sensitive resin composition containing a compound (A) which contains at least one phenolic hydroxyl group and at least one group where a hydrogen atom in a phenolic hydroxyl group is substituted by a group represented by the following General Formula (1) (in the formula, each of R11 and R12 independently represents a hydrogen atom, an alkyl group, a cycloalkyl group, an aryl group, or an aralkyl group; X11 represents an aryl group; M11 represents a single bond or a divalent linking group; and Q11 represents an alkyl group, a cycloalkyl group or an aryl group, wherein the number of carbon atoms which are included in the group represented by -M11-Q11 is 3 or more, and at least two of R11, R12, Q11, and X11 may form a ring by bonding to each other).
    Type: Grant
    Filed: July 26, 2012
    Date of Patent: March 18, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Inasaki, Tomotaka Tsuchimura
  • Patent number: 8669023
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: March 11, 2014
    Assignee: D2S, Inc.
    Inventor: Akira Fujimura
  • Patent number: 8637222
    Abstract: A resist pattern forming method including in the following order, (1) a step of forming a film by using a negative chemical-amplification resist composition capable of undergoing negative conversion by a crosslinking reaction, (2) a step of exposing the film, and (4) a step of developing the exposed film by using a developer containing an organic solvent; a developer and a negative chemical-amplification resist composition used therefor; and a resist pattern formed by the pattern forming method.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: January 28, 2014
    Assignee: FUJIFILM Corporation
    Inventors: Toru Tsuchihashi, Tadateru Yatsuo, Koji Shirakawa, Hideaki Tsubaki, Akira Asano
  • Patent number: 8637226
    Abstract: A method of forming an image having multiple phases is disclosed herein. The method includes forming exposed and unexposed areas, the exposed areas comprising a first polymer network exhibiting first and second phases that are chemically connected and have different refractive indices, the first phase being continuous, and the second phase comprising a plurality of structures dispersed within the first phase, and the unexposed areas comprising a second polymer network comprising third and fourth phases that are chemically connected and have different refractive indices, the third phase being continuous, and the fourth phase comprising a plurality of structures dispersed within the third phase. The first and second polymer networks are chemically connected, and morphology formed by the first and second phases is different than that formed by the third and fourth phases.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: January 28, 2014
    Assignee: 3M Innovative Properties Company
    Inventors: Mieczyslaw H. Mazurek, Raymond P. Johnston, John E. Potts, Marc D. Radcliffe, Kevin R. Schaffer, Audrey A. Sherman, Wendi J. Winkler
  • Patent number: 8637211
    Abstract: A method for manufacturing a semiconductor device is disclosed, wherein during the physical design process, a curvilinear path is designed to represent an interconnecting wire on the fabricated semiconductor device. A method for fracturing or mask data preparation (MDP) is also disclosed in which a manhattan path which is part of the physical design of an integrated circuit is modified to create a curvilinear pattern, and where a set of charged particle beam shots is generated, where the set of shots is capable of forming the curvilinear pattern on a resist-coated surface.
    Type: Grant
    Filed: October 9, 2011
    Date of Patent: January 28, 2014
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8628908
    Abstract: A chemically amplified resist composition is provided comprising (A) a specific tertiary amine compound, (B) a specific acid generator, (C) a base resin having an acidic functional group protected with an acid labile group, which is substantially insoluble in alkaline developer and turns soluble in alkaline developer upon deprotection of the acid labile group, and (D) an organic solvent. The resist composition has a high resolution, improved defect control in the immersion lithography, and good shelf stability.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: January 14, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takeru Watanabe, Tomohiro Kobayashi, Masayoshi Sagehashi, Takeshi Nagata, Youichi Ohsawa, Ryosuke Taniguchi
  • Patent number: 8614033
    Abstract: A resist film formed by using a chemical amplification type resist composition containing (A) a high molecular compound having a structure wherein a hydrogen atom of a phenolic hydroxyl group is substituted by a group represented by the following general formula (I), (B) a compound generating an acid upon irradiation with actinic rays or radiation, and an organic solvent, and the film thickness is 10 to 200 nm. wherein, R1 represents a hydrocarbon group, R2 represents a hydrogen atom or a hydrocarbon group, and Ar represents an aryl group. R1 may also bind to Ar to form a ring which may also contain a heteroatom. * represents a binding position with an oxygen atom of the phenolic hydroxyl group.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: December 24, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Tomotaka Tsuchimura, Takeshi Inasaki, Hiroo Takizawa
  • Patent number: 8614052
    Abstract: A method of electron beam lithography for producing wafers and masks. To reduce the impacts of the disturbing proximity effect, an expanded correction algorithm that enables a more accurate correction is used to control the electron beam. To create an improved correction method by means of which the contrast and the feature width (CD) of all figures of a pattern can be optimally controlled additional contrast frames (KR) and remaining figures (R) are produced using a geometric method for the purpose of contrast control with respect to all figures (F). Then smaller figures (KRsize-S and Rsize-S) are produced from the contrast frame figures (KR) and remaining figures (R) by means of a negative sizing operation, and subsequently figures (KRsize-S and Rsize-S) are transferred to the proximity correction algorithm with the condition that the resist threshold is reached at the edges of the figures (KR, R) by the dose assignment.
    Type: Grant
    Filed: January 12, 2011
    Date of Patent: December 24, 2013
    Assignee: EQUIcon Software GmbH Jena
    Inventor: Reinhard Galler
  • Patent number: 8609308
    Abstract: The present disclosure provides a method of improving a layer to layer overlay error by an electron beam lithography system. The method includes generating a smart boundary of two subfields at the first pattern layer and obeying the smart boundary at all consecutive pattern layers. The same subfield is exposed by the same electron beam writer at all pattern layers. The overlay error caused by the different electron beam at different layer is improved.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: December 17, 2013
    Assignee: Taiwan Semicondcutor Manufacturing Company, Ltd.
    Inventors: Pei-Shiang Chen, Hung-Chun Wang, Jeng-Horng Chen, Cheng-Hung Chen, Shih-Chi Wang, Nian-Fuh Cheng, Chia-Chi Lin
  • Patent number: 8609306
    Abstract: A method for fracturing or mask data preparation for shaped beam charged particle beam lithography is disclosed, in which a square or nearly-square contact or via pattern is input, and a set of charged particle beam shots is determined which will form a circular or nearly-circular pattern on a surface, where the area of the circular or nearly-circular pattern is within a pre-determined tolerance of the area of the input square or nearly-square contact or via pattern. Methods for forming a pattern on a surface and for manufacturing a semiconductor device are also disclosed.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: December 17, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8609305
    Abstract: In a method of forming a reticle and electron beam exposure system, first electron beams are irradiated onto a first region of a blank reticle having a light shielding layer and a photosensitive layer, to form first shot patterns. Second electron beams having a cross-sectional area larger than the first electron beams are irradiated onto a second region of the blank reticle. The photosensitive layer is developed to form first and second mask patterns at the first and second regions, respectively. The light shielding layer is etched off using the first and second mask patterns as an etching mask, thereby forming the mother pattern including a first pattern in the first region and a second pattern in the second region. Accordingly, the enlargement of the second electron beams reduces the scan time for the blank reticle, thereby reducing the process time.
    Type: Grant
    Filed: April 10, 2012
    Date of Patent: December 17, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Jin-Ha Jeong, Urazaev Vladimir, Hea-Yun Lee
  • Patent number: 8609323
    Abstract: A method of forming ceramic pattern structures of silicon carbide film includes depositing an electron-beam resist or a photo-resist onto a substrate. A portion of the resist is selectively removed from the substrate to form a resist pattern on the substrate. A film of pre-ceramic polymer that includes silicon and carbon is deposited onto the substrate and resist pattern and the pre-ceramic polymer film is cured. A portion of the cured pre-ceramic polymer film on the resist pattern is removed, thereby forming a pre-ceramic polymer pattern on the substrate. The pre-ceramic polymer pattern is then converted to a ceramic pattern.
    Type: Grant
    Filed: May 30, 2012
    Date of Patent: December 17, 2013
    Assignee: University of Massachusetts
    Inventors: Joel M. Therrien, Daniel F. Schmidt
  • Patent number: 8592108
    Abstract: In the field of semiconductor device production, a method and system for fracturing or mask data preparation or optical proximity correction are disclosed, in which a target maximum dosage for a surface is input, and where a plurality of variable shaped beam (VSB) shots is determined that will form a pattern on the surface, where at least two of the shots partially overlap, and where the plurality of shots are determined so that the maximum dosage produced on the surface is less than the target dosage. A similar method is disclosed for manufacturing an integrated circuit.
    Type: Grant
    Filed: December 10, 2012
    Date of Patent: November 26, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Harold Robert Zable
  • Patent number: 8580481
    Abstract: The resist polymer of the present invention comprises a specific constitutional unit having a cyano group, a constitutional unit having an acid-dissociable group, and a specific constitutional unit having a lactone skeleton. When the above polymer is used as a resist resin in DUV excimer laser lithography or electron beam lithography, it exhibits high sensitivity and high resolution, and provides a good resist pattern shape, having a small degree of occurrence of line edge roughness or generation of microgels.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: November 12, 2013
    Assignee: Mitsubishi Rayon Co., Ltd.
    Inventors: Hikaru Momose, Atsushi Ootake, Akifumi Ueda, Tadayuki Fujiwara, Masaru Takeshita, Ryotaro Hayashi, Takeshi Iwai
  • Patent number: 8581186
    Abstract: There is proposed a charged particle beam apparatus including: a plurality of noise removal filters that remove noise of an electrical signal; a measurement unit that measures the contrast-to-noise ratio after applying one of the noise removal filters; and a determination unit that determines a magnitude relationship between the contrast-to-noise ratio measured by the measurement unit and a threshold value set in advance.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: November 12, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Makoto Suzuki, Kazunari Asao
  • Patent number: 8580486
    Abstract: There is provided an acid having a fluorine-containing carbanion structure or a salt having a fluorine-containing carbanion structure, which is represented by the following general formula (1). By using a photoacid generator for chemically amplified resist materials that generates this acid, it is possible to provide a photoacid generator which has a high sensitivity to the ArF excimer laser light or the like, of which acid (photo generated acid) to be generated has a sufficiently high acidity, and which has a high dissolution in resist solvent and a superior compatibility with resin, and a resist material containing such a photoacid generator.
    Type: Grant
    Filed: March 10, 2009
    Date of Patent: November 12, 2013
    Assignee: Central Glass Company, Limited
    Inventors: Masashi Nagamori, Satoru Narizuka, Susumu Inoue, Takashi Kume
  • Patent number: 8574816
    Abstract: The invention provides a positive resist composition comprising, as base resins contained therein, (A) a polymer having a weight-average molecular weight of 1000 to 500000 and containing a repeating unit which contains a structure having a hydrogen atom of a carboxyl group thereof substituted with an acid-labile group having a cyclic structure and (B) a novolak resin of a substituted or an unsubstituted naphtholphthalein, and in addition, a photo acid generator. There can be provided a positive resist composition having an appropriate absorption to form a pattern on a highly reflective substrate, excellent characteristics in adhesion and implantation onto a non-planar substrate, a good pattern profile after light exposure, and an ion implantation resistance at the time of ion implantation; and a patterning process.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: November 5, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Daisuke Kori
  • Patent number: 8574814
    Abstract: An object of the present invention is to provide an actinic ray-sensitive or radiation-sensitive resin composition that can form independent line patterns with high resolution and excellent shapes and shows excellent resist performances including roughness characteristics, and to provide an actinic ray-sensitive or radiation-sensitive film and a pattern forming method using the composition. The actinic ray-sensitive or radiation-sensitive resin composition contains a compound (P) that contains at least one phenolic hydroxyl group and at least one group in which a hydrogen atom of a phenolic hydroxyl group is substituted with a group represented by the following General Formula (1) (the respective symbols in the formula represent the same definitions as in the claims and the specification).
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: November 5, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Takeshi Inasaki, Tomotaka Tsuchimura
  • Patent number: 8563200
    Abstract: A manufacturing method of a photomask by which a resist pattern corresponding to a pattern with designed values can be formed, a method for optical proximity correction, and a manufacturing method of a semiconductor device are provided. Proximity design features that are close to each other and estimated to violate a mask rule check are extracted. In the proximity design features, correction prohibited regions where optical proximity correction is not carried out are set based on the distance between the features obtained from the extracted proximity design features and the resolution of an exposure device. Optical proximity correction is carried out on the proximity design features with the correction prohibited regions excluded to obtain corrected proximity patterns. A predetermined mask material is patterned by carrying out electron beam lithography based on the corrected proximity pattern data.
    Type: Grant
    Filed: January 6, 2012
    Date of Patent: October 22, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Ayumi Minamide, Akemi Moniwa, Akira Imai
  • Patent number: 8563224
    Abstract: The present disclosure provides a dithering method of increasing wafer throughput by an electron beam lithography system. The dithering method generates an edge map from a vertex map. The vertex map is generated from an integrated circuit design layout (such as an original pattern bitmap). A gray map (also referred to as a pattern gray map) is also generated from the integrated circuit design layout. By combining the edge map with the gray map, a modified integrated circuit design layout (modified pattern bitmap) is generated for use by the electron beam lithography system.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hung Chen, Pei-Shiang Chen, Shih-Chi Wang, Jeng-Horng Chen
  • Patent number: 8563216
    Abstract: There is disclosed a substrate to be processed having laminated thereon a resist film for electron beam and an organic conductive film, in which at least a resist film for electron beam and an organic conductive film are laminated in order on a substrate to be processed having a conductive inorganic thin film as its surface layer, wherein a surface to be processed of the substrate to be processed has an area of direct contact between the organic conductive film and the conductive inorganic thin film in part thereof. There can be a substrate to be processed capable of forming a resist pattern stably and accurately with efficient removal of electricity even when an electron beam with high current density is irradiated.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: October 22, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Satoshi Watanabe, Hiroki Yoshikawa
  • Patent number: 8563952
    Abstract: A charged particle beam writing apparatus, includes a unit to input information about a stripe region height, and to judge, when a write region is divided into stripe regions in a thin rectangular shape by the stripe region height, whether a height of a last stripe region is narrower than the stripe region height; and a unit to divide the write region into stripe regions in the thin rectangular shape in such a way that the last stripe region and a stripe region prior to the last stripe region are combined to create one stripe region and stripe regions at least two stripe regions prior to the last stripe region are each created as stripe regions of the stripe region height if the height of the last stripe region is narrower than the stripe region height.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: October 22, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Jun Yashima, Akihito Anpo
  • Patent number: 8546060
    Abstract: A chemically amplified positive resist composition is provided comprising a polymer PB having an amine structure bound thereto and a polymer PA comprising recurring units having an acidic side chain protected with an acid labile protective group and recurring units having an acid generating moiety on a side chain.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: October 1, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Satoshi Watanabe, Akinobu Tanaka, Daisuke Domon
  • Patent number: 8546063
    Abstract: Provided is a pattern-forming method including, in the following order: (1) a process of forming a film with an actinic ray-sensitive or radiation-sensitive resin composition comprising a resin which contains an acid-decomposable repeating unit and is capable of decreasing the solubility in an organic solvent by the action of an acid; (2) a process of exposing the film with an electron beam or an EUV ray; and (4) a process of developing the film with a developer containing an organic solvent.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: October 1, 2013
    Assignee: FUJIFILM Corporation
    Inventors: Hideaki Tsubaki, Koji Shirakawa, Toru Tsuchihashi
  • Patent number: 8541158
    Abstract: A positive resist composition is provided comprising an acid generator, a resin component which generates resin-solubilizing groups under the action of acid so that the resin component becomes soluble in an alkaline developer, at least some resin-solubilizing groups being carboxyl groups, and a compound for activating or condensing a carboxyl group. When processed by the lithography, the resist composition forms a resist pattern having a very high resolution and good mask fidelity.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: September 24, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Wataru Kusaki, Takeshi Kinsho, Takeru Watanabe
  • Patent number: 8530121
    Abstract: A method for fabricating a semiconductor device is disclosed. An exemplary method includes receiving an integrated circuit (IC) layout design including a target pattern on a grid. The method further includes receiving a multiple-grid structure. The multiple-grid structure includes a number of exposure grid segments offset one from the other by an offset amount in a first direction. The method further includes performing a multiple-grid exposure to expose the target pattern on a substrate and thereby form a circuit feature pattern on the substrate. Performing the multiple-grid exposure includes scanning the substrate with the multiple-grid structure in a second direction such that a sub-pixel shift of the exposed target pattern occurs in the first direction, and using a delta time (?t) such that a sub-pixel shift of the exposed target pattern occurs in the second direction.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: September 10, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8524426
    Abstract: A method for correcting a position error of a lithography apparatus comprises inputting position data of exposure pattern, irradiating laser light onto a position reference mask from a position measurement laser system, calculating actual position data of the laser light irradiated onto the position reference mask, and comparing the position data of the exposure pattern with the actual position data of the laser light irradiated onto the position reference mask. With this method, circuit patterns can be accurately formed at predetermined positions on a photomask, and the circuit patterns on the photomask can be accurately formed at predetermined positions on a wafer.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: September 3, 2013
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Jin Choi, Dong-Seok Nam
  • Patent number: 8524442
    Abstract: A combined laminating and exposing apparatus for exposing a photosensitive printing blank to actinic radiation in a printing plate manufacturing system and a method of using the same are disclosed. The photosensitive printing blank comprises a backing layer, at least one photocurable layer disposed on the backing layer, and a laser ablatable mask layer disposed on the at least one photocurable layer, wherein the laser ablatable mask layer is laser ablated to create an in situ negative in the laser ablatable mask layer. The exposing apparatus comprises: (a) a laminating apparatus for laminating an oxygen barrier layer to a top of the laser ablated mask layer; (b) a conveyor; (c) a first exposing device for imagewise exposing the at least one photocurable layer to actinic radiation, and (d) a second exposing device for exposing the at least one photocurable layer to actinic radiation through the backing layer.
    Type: Grant
    Filed: February 13, 2012
    Date of Patent: September 3, 2013
    Inventors: David A. Recchia, Kyle P. Baldwin, Timothy Gotsick
  • Patent number: 8524427
    Abstract: An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: September 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jaw-Jung Shin, Shy-Jay Lin, Wen-Chuan Wang, Burn Jeng Lin
  • Patent number: 8518628
    Abstract: A material is provided for use in an immersion lithographic process of a semiconductor substrate. The material includes a photo-sensitive polymer configured to turn soluble to a base solution in response to reaction with an acid and at least one of either a base soluble polymer or an acid labile polymer. The base soluble polymer is configured to turn soluble to water in response to reaction with a developer solution. The acid labile polymer is configured to turn soluble to water after releasing a leaving group in reaction to the acid.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: August 27, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ching-Yu Chang, Chih-Cheng Chiu
  • Patent number: 8512919
    Abstract: A surface manufactured using variable shaped beam (VSB) shots is disclosed, where either: 1) the left edge of a first VSB shot intersects the top edge of a second VSB shot, and the bottom edge of the first VSB shot intersects the right edge of the second VSB shot; or 2) the left edge of the first VSB shot intersects the bottom edge of a second VSB shot, and the top edge of the first VSB shot intersects the right edge of the second VSB shot; and where neither shot crosses a field boundary of the VSB charged particle beam writer.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: August 20, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Lance Glasser
  • Patent number: 8507159
    Abstract: The present disclosure provides for many different embodiments of a charged particle beam data storage system and method. In an example, a method includes dividing a design layout into a plurality of units; creating a lookup table that maps each of the plurality of units to its position within the design layout and a data set, wherein the lookup table associates any repeating units in the plurality of units to a same data set; and exposing an energy sensitive layer to a charged particle beam based on the lookup table.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: August 13, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Chun Wang, Pei-Shiang Chen, Tzu-Chin Lin, Faruk Krecinic, Jeng-Horng Chen, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8501382
    Abstract: There are disclosed sulfonic acid precursor compositions, as are methods of using these compositions in, for example, photolithography. Other embodiments are also disclosed.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: August 6, 2013
    Assignee: The Research Foundation of State Univ. of New York
    Inventor: Robert L. Brainard
  • Patent number: 8501374
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which a plurality of shaped beam shots is determined which will form a target pattern on a surface, within a predetermined tolerance, where the plurality of shaped beam shots includes a plurality of circular or nearly-circular character projection (CP) shots plus one or more non-circular shot, and where at least two shots in the plurality of circular or nearly-circular shots overlap. Methods for manufacturing a surface and for manufacturing a semiconductor device on a substrate are also disclosed.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: August 6, 2013
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Michael Tucker
  • Patent number: 8492732
    Abstract: A multi charged particle beam writing apparatus according to one aspect of the present invention includes a first aperture member to form multiple beams, a blanker array provided with a plurality of blankers which respectively perform blanking deflection of a corresponding beam in the multiple beams, a first electromagnetic lens and a second electromagnetic lens arranged between the first aperture member and the blanker array, a second aperture member arranged between the first electromagnetic lens and the second electromagnetic lens and at a position of a convergence point of the multiple beams and configured to restrict passage of charged particles deviated from the convergence point, and a third aperture member to block each beam which was deflected to be in a beam off state by the plurality of blankers.
    Type: Grant
    Filed: October 2, 2012
    Date of Patent: July 23, 2013
    Assignee: NuFlare Technology, Inc.
    Inventor: Munehiro Ogasawara
  • Patent number: 8492055
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed. Base dosages for a plurality of exposure passes are set and a multiplicity of shots for the plurality of exposure passes is exposed. The multiplicity of shots comprises two groups: a first group of shots for at least two exposures passes, wherein the union of shots for each exposure pass covers the same area, and where shots within an exposure pass are disjoint; and a second group of shots, where each shot in the second group of shots overlaps a shot in the first group of shots. Each shot in the second group is in one of the plurality of exposure passes. A method for forming a set of patterns on a surface is also disclosed.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: July 23, 2013
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8481246
    Abstract: According to one embodiment, a method of forming a pattern includes applying a block copolymer to a substrate, the block copolymer including a first block and a second block, the first block including polyacrylate or polymethacrylate having a side chain to which an alicyclic hydrocarbon group or a hydrocarbon group including a tertiary carbon is introduced, and the second block including polystyrene substituted with hydrocarbon or halogen at an ?-position, causing the block copolymer to be phase-separated, irradiating the block copolymer with an energy beam to decompose the second block, and removing the second block with a developer to form a pattern of the first block.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: July 9, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Koji Asakawa, Shigeki Hattori, Ryota Kitagawa
  • Patent number: 8475980
    Abstract: A method of forming a semiconductor device can include determining a shot set including a plurality of shots, based on a final pattern used to form a mask. Shots included in the plurality shots can be classified as being in a first pass shot set or in a second pass shot set, where each can include a plurality of non-directly neighboring shots. A first pass exposure can be performed to radiate a reticle to provide the first pass shot set and a second pass exposure can be performed to radiate the reticle to provide the second pass shot set.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: July 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Byung-gook Kim, Hee-bom Kim, Sang-hee Lee
  • Patent number: 8470511
    Abstract: A chemically amplified negative resist composition is provided comprising (A) an alkali-soluble polymer, (B) an acid generator, and (C) a nitrogen-containing compound as a basic component, the polymer (A) turning alkali insoluble under the catalysis of acid. A basic polymer having a secondary or tertiary amine structure on a side chain serves as components (A) and (C). Processing the negative resist composition by EB or EUV lithography process may form a fine size resist pattern with advantages including uniform diffusion of base, improved LER, controlled deactivation of acid at the substrate interface, and a reduced degree of undercut.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: June 25, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Keiichi Masunaga, Satoshi Watanabe, Akinobu Tanaka, Daisuke Domon