Electron Beam Patents (Class 430/942)
  • Patent number: 6887626
    Abstract: The batch projection regions and of an electron beam projection mask are arranged so that pattern density may be equalized on the whole wafer surface.
    Type: Grant
    Filed: January 23, 2002
    Date of Patent: May 3, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Fumihiro Koba
  • Patent number: 6872507
    Abstract: A method for forming a patterned microelectronics layer employing electron beam lithography in a sensitive material upon a substrate with optimal correction for proximity effects resulting from electron back scattering into the resist material. There is provided a substrate having formed thereon a layer of resist material sensitive to electron beam exposure. There is then exposed the sensitive layer to a vector scan shaped electron beam to write a primary pattern with dose correction of the beam dose for proximity effects due to electron scattering at each point in the primary pattern. There is then written a secondary pattern which is a negative reversed image of the primary pattern in a secondary exposure employing a vector scan shaped focused electron beam at an exposure dose substantially below the primary beam dose, there being provided a gap between the primary pattern and the secondary pattern.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: March 29, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: San-De Tzu, Ching Shiun Chiu, Wei-Zen Chou, Chia Fang Wu
  • Patent number: 6869748
    Abstract: Resist compositions comprising as the base resin a polymer using an alkoxyalkyl (meth)acrylate as a reactive group which is decomposable under the action of an acid to increase solubility in alkali have advantages including a practical level of shelf stability, a significantly enhanced contrast of alkali dissolution rate before and after exposure, a high sensitivity, and a high resolution over a wide baking temperature range. The compositions are best suited as a chemically amplified positive resist material for micropatterning in the manufacture of VLSI.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: March 22, 2005
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Osamu Watanabe
  • Patent number: 6861181
    Abstract: A photomask and method for evaluating an initial calibration for a scanning electron microscope are disclosed. The method includes generating an initial calibration for a SEM that contains a target width for a feature on a reference target and measuring the feature on the reference target in the SEM to determine a measured width for the feature. The measured width is compared to the target width to generate a shift deviation and a current calibration for the SEM is adjusted based on the shift deviation.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: March 1, 2005
    Assignee: DuPont Photomasks, Inc.
    Inventor: Robert K. Henderson
  • Patent number: 6861198
    Abstract: A negative resist material, which comprises at least a high polymer containing repeating units represented by the following general formula (1) and having a weight average molecular weight of 1,000 to 500,000. There is provided a negative resist material, in particular, a negative resist material of chemical amplification type, which shows high sensitivity, resolution, exposure latitude and process adaptability as well as good pattern shape after light exposure, and further shows superior etching resistance.
    Type: Grant
    Filed: January 23, 2003
    Date of Patent: March 1, 2005
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Takanobu Takeda, Osamu Watanabe, Wataru Kusaki, Ryuji Koitabashi
  • Patent number: 6861187
    Abstract: Methods and devices are disclosed for evaluating the imaging performance of a charged-particle-beam (CPB) microlithography system. An embodiment of such a device includes a knife-edged pattern region defining multiple knife-edged apertures that are longitudinally extended. Each aperture includes a respective knife-edge on each of its two respective longitudinal edges. A charged particle beam having a rectangular transverse profile is scanned across the apertures such that the beam reaches a knife-edge on an adjacent aperture before the previous knife-edge exhibits radiation-induced deterioration. Furthermore, each of the knife-edges can be swept multiple times by respective beam scans performed at different locations in the longitudinal direction. Hence, measurements can be performed many times (e.g., hundreds of times) using a single knife-edged pattern region.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: March 1, 2005
    Assignee: Nikon Corporation
    Inventor: Takehisa Yahiro
  • Patent number: 6858375
    Abstract: A method for forming a resist pattern comprising the steps of: forming a light-shield film on an overall surface of a transparent substrate; forming a resist layer and an organic film being capable of functioning as a trap layer or an electron beam buffer layer against electrons on an overall surface of the light-shield film in this order; carrying out exposure in a desired pattern above the organic film; and developing the resist layer and the organic film to form a desired pattern in the resist layer.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: February 22, 2005
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Shinji Kobayashi
  • Patent number: 6858372
    Abstract: A resist composition with enhanced X-ray and electron sensitivity includes a plurality of chemically inert nanoparticles dispersed throughout a base resist material. The nanoparticles have a higher atomic number than the base resist material and each of the nanoparticles is formed by a nanoparticle core, e.g., of a noble metal, coated with an organic capping layer or shell. The latter renders the core dispersible and chemically compatible with the resist material surrounding the nanoparticle. A method of making a resist composition with enhanced X-ray and electron sensitivity is to provide a resist material and disperse chemically inert nanoparticles throughout the resist. The nanoparticles have a higher atomic number than the resist and a have core/shell structure. A resist composition with enhanced X-ray and electron sensitivity can be made by having a nanoparticle core, with a higher atomic number than the resist, that is coated with an organic capping layer.
    Type: Grant
    Filed: March 24, 2003
    Date of Patent: February 22, 2005
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Robert R. Whitlock, Arthur Snow, Charles M. Dozier, Samuel G. Lambrakos
  • Patent number: 6855481
    Abstract: The present invention relates generally to an apparatus and a method for forming a pattern, and in particular, to an apparatus and a method for forming a pattern for the formation of quantum dots or wires with 1˜50 nm dimension using the atomic array of a crystalline material and to the manufacture of functional devices that have such a structure. In the present invention, the functional device means an electronic, magnetic, or optical device that can be fabricated by procedures including the formation process of quantum dots or wires.
    Type: Grant
    Filed: January 24, 2002
    Date of Patent: February 15, 2005
    Inventor: Ki-Bum Kim
  • Patent number: 6835511
    Abstract: Microlithography methods and apparatus are disclosed that allow reticle deformations to be measured and corrected quickly and accurately. Multiple alignment marks (comprising a “first set” and “second set” of reticle-position-measurement marks) are formed on the reticle. A first set of reticle-deformation data is obtained by detecting the positions of at least some of the first set of reticle-position-measurement marks using an inspection device that is separate from the microlithography apparatus with which the reticle will be used for making lithographic exposures. The first set of reticle-deformation data is stored in a first memory. The reticle then is mounted in the microlithography apparatus, in which a second set of reticle-deformation data is obtained by detecting the positions of at least some of the second set of reticle-position-measurement marks. The second set of reticle-deformation data is stored in a second memory.
    Type: Grant
    Filed: April 24, 2002
    Date of Patent: December 28, 2004
    Assignee: Nikon Corporation
    Inventor: Noriyuki Hirayanagi
  • Patent number: 6835524
    Abstract: Polymers comprising recurring units of an acrylic derivative of fluorinated backbone represented by formula (1) are novel. R1, R2 and R3 are independently H, F, C1-20 alkyl or fluorinated C1-20 alkyl, at least one of R1, R2 and R3 contains fluorine, and R4 is a hydrophilic group. Using the polymers, chemical amplification positive resist compositions featuring low absorption of F2 excimer laser light are obtained.
    Type: Grant
    Filed: February 15, 2001
    Date of Patent: December 28, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Jun Watanabe, Yuji Harada
  • Patent number: 6830852
    Abstract: Methods are provided for complementarily dividing, on a divided stencil reticle as used in charged-particle-beam (CPB) microlithography, certain pattern elements into complementary pattern-element portions, and for exposing the pattern-element portions without significantly reducing throughput. For example, a large-area pattern element, having length and width equal to or greater than a division criterion L, is complementarily divided into linear pattern-element portions each having a width<L, and length≧L. Each pattern-element portion can have respective overlap regions along edges at which the portions as projected are conjoined on a lithographic substrate. The pattern-element portions are defined on at most two complementary reticles (or reticle portions) thereby imposing less adverse effect on throughput than conventionally.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: December 14, 2004
    Assignee: Nikon Corporation
    Inventors: Shintaro Kawata, Koichi Kamijo, Shinichi Takahashi
  • Patent number: 6824728
    Abstract: A process for crosslinking polyacrylate compositions, wherein, by selective irradiation of the pressure-sensitive adhesive composition with electron beams, the polymer is cured only in certain structures and, as a result, structured pressure-sensitive adhesive compositions can be prepared.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: November 30, 2004
    Assignee: tesa AG
    Inventors: Marc Husemann, Stephan Zöllner
  • Patent number: 6821693
    Abstract: A method for adjusting (aligning) a multilevel phase-shifting mask or a multilevel phase-shifting reticle with the aid of at least one alignment mark provided on the mask or the reticle includes the steps of applying or introducing at least two alignment marks onto or into the substrate of the mask or of the reticle in a first step before the first exposure step of the mask or of the reticle, in a second step, coating at least the alignment marks produced in the first step and the regions immediately surrounding them with a thin conducting layer, and, for all following alignment steps of the plurality of mask levels, raster-scanning these alignment marks applied in the first step with an uncharged or charged particle or photon beam.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: November 23, 2004
    Assignee: Infineon Technologies AG
    Inventors: Gernot Goedl, Dirk Loeffelmacher, Timo Wandel
  • Patent number: 6821706
    Abstract: A polymerizable composition for use in electron beam lithography, according to the following structural formula: The formula use the following definitions. m is a number from 0.1 to 0.9. n is a number from 0.1 to 0.9 with m+n=1. I is an integer from 1 to 100. R1 is H, an alkyl, a halogen, an amine, a silicon compound, or a germanium compound, having a chain length of up to six carbon, silicon, or germanium atoms. R2 is H, an alkyl, a halogen, an amine, a silicon group, or a germanium compound, having a chain length of up to six carbon, silicon, or germanium atoms. R3 is an organic protective group which can be eliminated. A resist and a process using the resist utilize the polymerizable composition. The use of the polymerizable composition in a resist reduces or prevents charging of a substrate at high exposure sensitivity.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: November 23, 2004
    Assignee: Infineon Technologies AG
    Inventors: Klaus Elian, Rafael Abargues
  • Patent number: 6818384
    Abstract: A resist pattern can be formed on a microelectronic substrate, the resist pattern comprising a resist material. A coating layer, including a water-soluble resin, is formed on the resist pattern, wherein the water-soluble resin and the resist material are miscible with one another and intermix to provide an intermixed layer comprising the resist material and the water-soluble resin between the resist pattern and a non-intermixed coating layer. The intermixed layer can be hardened and the non-intermixed coating layer can be removed from the hardened intermixed layer.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: November 16, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangjun Choi, Sihyeung Lee, Hyoungdo Kim, Woosung Han
  • Patent number: 6818364
    Abstract: A charged particle beam exposure apparatus comprises a beam gun, a projection optics, a sample stage loaded with a sample wherein an image projected from the projection optics is to be formed, first marks are formed beforehand, and second marks are exposed to a charged particle beam with a first incident energy by the projection optics in the vicinity of the first marks, a detector detecting an electron signal from a region including the first and second marks, when the region is scanned with a second incident energy different from the first incident energy, a calculation circuit calculating a positional shift between the first and second marks from the detected signal, a correction circuit correcting a position of the first mark based on the calculated positional shift, and an exposure control circuit aligning a desired pattern based on the corrected position of the first mark.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: November 16, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tetsuro Nakasugi
  • Patent number: 6815143
    Abstract: Provided are a PED-stabilizer-containing resist material having high sensitivity and high resolution, and sufficient PED stability; and a pattern forming method using the resist material. More specifically, the resist material contains at least one compound selected from thiol derivatives, disulfide derivatives and thiolsulfonate derivatives. This resist material may further contain a dissolution inhibitor and/or surfactant. The pattern forming method comprises steps of applying the resist material to a substrate; after a heat treatment, exposing the substrate to a high energy beam or electron beam through a photomask; and after an optional heat treatment, developing the resist material with a developer.
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: November 9, 2004
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Satoshi Watanabe
  • Publication number: 20040214109
    Abstract: As feature sizes approach 0.1 &mgr;m or smaller, reduction of line edge roughness (LER) becomes increasingly important. Significant reductions in edge roughness have been achieved by applying a second Ebeam exposure after the initial one thatis used to define the pattern. After this second blanket exposure a longer heat treatment and a stronger development process than before are used. In addition to reducing edge roughness the disclosed treatment allows the CD to be reduced under tight control since the amount of CD reduction is proportional to the second Ebeam dosage.
    Type: Application
    Filed: April 22, 2003
    Publication date: October 28, 2004
    Applicant: Headway Technologies, Inc.
    Inventors: Chao Peng Chen, Chunping Luo, Stuart Kao, Jei-Wei Chang
  • Publication number: 20040185354
    Abstract: There is described a method for depicting a predetermined pattern, such as a diffraction pattern employed in an optical element, on a substrate. The method includes the steps of: acquiring shape data of the predetermined pattern; generating a first input signal for deflecting an electron beam emitted from an electron gun in a main-scanning direction, and a second input signal for deflecting the electron beam in a sub-scanning direction, based on the shape data of the predetermined pattern; adjusting an alternating bias signal, having a specific frequency, according to the shape data of the predetermined pattern; superposing the alternating bias signal on the second input signal; and deflecting the electron beam emitted from the electron gun in the sub-scanning direction according to the second input signal on which the alternating bias signal is superposed, while scanning the electron beam by deflecting it in a main-scanning direction.
    Type: Application
    Filed: March 8, 2004
    Publication date: September 23, 2004
    Applicant: KONICA MINOLTA HOLDINGS, INC.
    Inventors: Osamu Masuda, Kazumi Furuta
  • Patent number: 6794100
    Abstract: A method and apparatus for controlling an intensity distribution of a radiation beam directed to a microlithographic substrate. The method can include directing a radiation beam from a radiation source along the radiation path, with the radiation beam having a first distribution of intensity as the function of location in a plane generally transverse to the radiation path. The radiation beam impinges on an adaptive structure positioned in the radiation path and an intensity distribution of the radiation beam is changed from the first distribution to a second distribution by changing a state of the first portion of the adaptive structure relative to a second portion of the adaptive structure. For example, the transmissivity of the first portion, or inclination of the first portion can be changed relative to the second portion. The radiation is then directed away from the adaptive structure to impinge on the microlithographic substrate.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: September 21, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ulrich C. Boettiger, Scott L. Light
  • Patent number: 6787285
    Abstract: A pattern width slimming-inhibiting method of photoresist pattern using photoresist composition containing thermal acid generator. When the formed pattern is heated, a thermal generator generates acid during the heating process, and a cross-linking reaction occurs to photoresist compositions, thereby preventing pattern width slimming due to SEM-beam for CD measurement.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: September 7, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Keun Kyu Kong, Gyu Dong Park, Jae Chang Jung, Ki Soo Shin
  • Publication number: 20040170924
    Abstract: Compounds of the formulae I, II and III 1
    Type: Application
    Filed: December 8, 2003
    Publication date: September 2, 2004
    Inventors: Kazuhiko Kunimoto, Junichi Tanabe, Hisatoshi Kura, Hidetaka Oka, Masaki Ohwa
  • Publication number: 20040170921
    Abstract: An electron beam recording substrate which holds a resist film where electron beam information recording is carried out and which has a surface layer area made of a material that suppresses enlargement of a scattering distribution diameter of electrons spread inside by irradiation of an electron beam from a resist film side.
    Type: Application
    Filed: February 27, 2004
    Publication date: September 2, 2004
    Applicant: PIONEER CORPORATION
    Inventor: Masahiko Katsumura
  • Patent number: 6783905
    Abstract: An electron beam exposure method is disclosed. First, An exposure region is divided into a plurality of grating regions. A pattern density is obtained for one of the plurality of grating regions. A backward scattering coefficient is determined in accordance with the pattern density for the one of the plurality of grating regions. An exposure dose amount is calculated from the backward scattering coefficient. The one of the plurality of grating regions is exposed with the calculated exposure dose amount. The backward scattering coefficient is provided with a variable function proportional to the pattern density. The backward scattering coefficient &eegr; is provided with a variable value depending on the pattern density and location of the one of the plurality of grating regions.
    Type: Grant
    Filed: July 10, 2002
    Date of Patent: August 31, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Seung-hune Yang
  • Publication number: 20040166426
    Abstract: An electron beam recorder includes an electron optical system for irradiating an electron beam on a master of an information recording medium and an electron beam irradiation position detecting unit for detecting an irradiation position of the electron beam in the electron optical system while the electron beam is being irradiated on the master by the electron optical system.
    Type: Application
    Filed: February 23, 2004
    Publication date: August 26, 2004
    Inventors: Masahiko Tsukuda, Shinya Abe
  • Patent number: 6780551
    Abstract: In the processing method of the present invention, there is implemented irradiation with a charged particle beam in such a manner that, when executing processing in a uniform manner, when deposition processing or etching processing of a prescribed pattern is carried out using a charged particle beam apparatus, a region of the pattern to be processed is divided up into microscopic regions corresponding to the diameter of the beam, and regulation is performed by scanning circuits etc. with processing proceeding simultaneously for a plurality of patterns within the scanning region in such a manner that the dose amount for each microscopic region becomes equal.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: August 24, 2004
    Assignee: SII NanoTechnology Inc.
    Inventors: Ryoji Hagiwara, Tomokazu Kozakai
  • Publication number: 20040161704
    Abstract: A method for making a relief printing plate, by using a lithographic printing plate to create ink-receptive areas on a receiver base. The relief printing plate has ink-receptive cured areas defining an image. The method comprises the steps of: a) imaging a lithographic printing plate precursor to produce a lithographic printing plate having ink-receptive image areas and ink-repellent non-image areas; b) applying a first curable composition to the lithographic printing plate, to form a coating of the first curable composition on ink-receptive image areas; c) contacting the coating to the receiver base to make an impression on the receiver base; and d) curing the impression on the receiver base to produce ink-receptive cured areas defining an image. In the practice of an embodiment of the invention, a modified rotary printing press may be employed to make a relief printing plate on a receiver base using a lithographic printing plate.
    Type: Application
    Filed: February 18, 2003
    Publication date: August 19, 2004
    Inventor: Jianbing Huang
  • Patent number: 6777145
    Abstract: The present invention relates to a test structure which is formed on a reticle simultaneously with a pattern that will be used to build an integrated circuit device. The test structure comprises a large rectangular end and several rectangular shapes that extend from one side of the rectangular end in a parallel array. The width of the rectangular shape extensions is equal to the spacing between them and is the same as the width of the minimum feature size in the lithographic process to be monitored. A CD SEM is used to measure the edge width of the convex and concave sections of the structure as printed in photoresist at various focus settings and a plot of edge width vs. focus setting is generated. The intersection of the lines representing the convex section and concave section measurements indicates the best focus setting for the lithographic process.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: August 17, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Wen-Zhan Zhou, Hui-Kow Lim, Teng Hwee Ng, Ron Lopez, Goswami Indranil
  • Patent number: 6777144
    Abstract: The use of a resist latent image alignment mark in lieu of using dedicated discrete alignment targets defined on a semiconductor wafer and the use of field oxide step heights for alignment during the fabrication of circuit devices are disclosed. A resist latent image alignment mark is formed in a layer of photoresist material and utilized to position a mask for exposing portions of the photoresist to a radiation source to pattern locations for active areas on a semiconductor substrate. A LOCOS isolation structure is then formed around the active areas. The isolation structure is formed such that the depth of the isolation structure is adjusted to a particular radiation source wavelength. The depth of the isolation structure can then be used as a diffraction grating for stepper alignment. Isolation structure height may also be used as a diffraction grating for stepper alignment.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: August 17, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Jeffrey W. Honeycutt, Steven M. McDonald
  • Publication number: 20040157162
    Abstract: Disclosed is a photosensitive resin composition for forming a laser engravable printing element, comprising: (a) 100 parts by weight of a resin which is a plastomer at 20° C., wherein the resin has a number average molecular weight (Mn) of from 1,000 to 100,000 and has a polymerizable unsaturated group in an amount such that the average number of the polymerizable unsaturated group per molecule is at least 0.7, (b) 5 to 200 parts by weight, relative to 100 parts by weight of resin (a), of an organic compound having an Mn of less than 1,000 and having at least one polymerizable unsaturated group per molecule, and (c) 1 to 100 parts by weight, relative to 100 parts by weight of resin (a), of an inorganic porous material. Also disclosed is a laser engravable printing element formed from the above-mentioned resin composition.
    Type: Application
    Filed: December 4, 2003
    Publication date: August 12, 2004
    Inventors: Masahisa Yokota, Kei Tomeba, Hiroshi Yamada, Yoshifumi Araki
  • Patent number: 6773862
    Abstract: A negative resist composition comprising: (A) a compound being capable of generating an acid upon irradiation with an actinic ray or a radiation; (B) an alkali-soluble polymer; and (C) at least two crosslinking agents being capable of generating crosslinking with the polymer (B) by an action of an acid, wherein the crosslinking agent (C) comprises at least two compounds having a different skeleton from each other, which are selected from phenol derivatives having at least one of a hydroxymethyl group and an alkoxymethyl group on a benzene ring thereof, in which a sum of the hydroxymethyl group and the alkoxymethyl group is two or more, one of the at least two crosslinking agents comprises one or two benzene rings in the molecule thereof, and other one of the at least two crosslinking agents comprises from 3 to 5 benzene rings in the molecule thereof.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: August 10, 2004
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Koji Shirakawa, Yutaka Adegawa, Shoichiro Yasunami
  • Publication number: 20040152024
    Abstract: It has now been surprisingly found that by exposing a photoresist to flood electron beam exposure in combination with optical exposure, that the pullback on the upper region of lithographic images in resist can be virtually eliminated during electron beam processing. This unexpected result is due to the fact that the electron beam exposure and optional bake are carried out prior to development of the resist. This means that the resist shrinkage that is seen as a result of these steps is constrained laterally by the resist film itself. Thus, the resist is free to shrink vertically, and the resulting shrinkage provides a reduction in the line slimming and an improvement in the etch rate of the resist. This leads to the formation of a better resist image.
    Type: Application
    Filed: January 31, 2003
    Publication date: August 5, 2004
    Inventors: William R. Livesay, Matthew F. Ross
  • Patent number: 6767674
    Abstract: A method of fabricating a mask (316) for patterning a semiconductor wafer. The mask (316) includes elliptical (340) or rounded features formed using an elliptical-shaped energy beam (350). Undesired stair-step shaped edges (344) of the oval (340) or rounded features formed by using a substantially circular-shaped energy beam to form the oval or rounded features are smoothed with the elliptical-shaped energy beam (350). A method of fabricating a semiconductor device with the mask (316) is included. The elliptical-shaped energy beam (350) may also be used to directly pattern a semiconductor wafer.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: July 27, 2004
    Assignee: Infineon Technologies AG
    Inventor: Enio Luiz Carpi
  • Patent number: 6767691
    Abstract: Disclosed are reticle assemblies for use in electron-beam microlithography. An exemplary reticle assembly includes a scattering-stencil reticle portion and a scattering-membrane reticle portion that define respective portions of the overall pattern defined by the reticle assembly. The reticle portions desirably are mounted to a reticle frame to provide strength and rigidity to the assembly. By combining both types of reticles in a single reticle assembly, the shortcomings of each are minimized compared to a single reticle type by which the entire pattern is defined. Because fabrication processes for the two reticle types are different, the reticle types can be fabricated separately and then bonded to the reticle frame to form the reticle assembly. Also disclosed are electron-beam microlithography apparatus and methods that include use of such reticle assemblies.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: July 27, 2004
    Assignee: Nikon Corporation
    Inventor: Shintaro Kawata
  • Patent number: 6767679
    Abstract: The present invention is provided a method to use a pattern section without extra serif to correct the polygon feature pattern with at least one inner corner. Such that the polygon feature pattern with at least one inner corner can achieve effectively OPC (optical proximity correction) without adding any extra data point. Therefore, the present invention can instead of the conventional serif and achieves the effective OPC. In addition, the mask writing time is also improved since the original feature pattern is divided into a few rectangular-shaped mask writing units or trapeze-shaped mask writing units for regular mask writing, and the inner corner is/are not in the middle of each divided mask writing units. The mask inspection is also simplified and easier to calibration since a simple geometry other than complex serif is used.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: July 27, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Chang-Jyh Hsieh, Jiunn-Ren Hwang, Jui-Tsen Huang
  • Publication number: 20040142281
    Abstract: Methods for forming a patterned layer of amorphous carbon on a substrate are described. A layer of amorphous carbon may be formed on the substrate. A layer of electron sensitive resist may be formed on top of the amorphous carbon layer. A pattern transferred into the electron sensitive resist layer with an electron beam writing process is developed. During the electron beam writing process, electrons may be conducted away from the writing area through the amorphous carbon layer. The amorphous carbon layer may be etched through in at least one region defined by the pattern developed into the layer of electron sensitive resist material. For some embodiments, the amorphous carbon layer may be formed by chemical vapor deposition. For some embodiments, the layer of electron sensitive resist may be hydrogen silsesquioxane (HSQ).
    Type: Application
    Filed: January 21, 2003
    Publication date: July 22, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Christopher D. Bencher, Ian S. Latchford
  • Publication number: 20040137343
    Abstract: The method involves selecting features of a pattern to be imaged, notionally dividing the source into a plurality of source elements, for each source element, calculating the process window for each selected feature and then the OPC rules that optimize the overlap of the calculated process windows. Finally, those source elements are selected for which the overlapping of the process windows and the OPC rules satisfy specified criteria. The selected source elements define the source intensity distribution.
    Type: Application
    Filed: December 4, 2003
    Publication date: July 15, 2004
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Markus Franciscus Antonius Eurlings, Armand Eugene Albert Koolen
  • Publication number: 20040131953
    Abstract: The object of the present invention is to provide a method for solving the problem of surface damage due to gallium ion irradiation that poses a problem when carrying out mask repair using currently established FIB techniques, and the problem of residual gallium, and to provide a device realizing this method. The device of the present invention has an electron beam lens barrel that can carry out processing, as well as an FIB lens barrel, provided inside the same sample chamber, which means that a mask repair method of the present invention, in correction processing to remove redundant sections such as a mask opaque defect, phase shift film bump defect or a glass substrate cut remnant defect, comprises a step of coarse correction by etching using a focused ion beam and a step of finishing processing using an electron beam, to remove surface damage due to gallium irradiation, and residual gallium.
    Type: Application
    Filed: November 24, 2003
    Publication date: July 8, 2004
    Inventors: Yasuhiko Sugiyama, Junichi Tashiro, Anto Yasaka
  • Patent number: 6756182
    Abstract: Methods are disclosed for performing charged-particle-beam (CPB, e.g., electron-beam) microlithography with reduced Coulomb effects being manifest in pattern images as formed on the surface of a sensitive substrate. The pattern is defined on a segmented reticle, which can be a scattering-stencil reticle or scattering-membrane reticle. In an embodiment, the beam current actually reaching the substrate, as a proportion of beam current actually passing through the reticle, is reduced to 50% or less during exposure of the pattern. To achieve this reduction, the pattern as defined on the reticle can be normal or inverted in tone as required, and the resist on the substrate can be positive or negative in tone as required. In an example embodiment, the beam current reaching the substrate is reduced by establishing an opening ratio of 50% or less for the pattern as a whole as defined on the reticle.
    Type: Grant
    Filed: June 11, 2002
    Date of Patent: June 29, 2004
    Assignee: Nikon Corporation
    Inventors: Sumito Shimizu, Kazuaki Suzuki
  • Patent number: 6756159
    Abstract: There is disclosed a manufacturing method of a semiconductor device comprising extracting characters from each layer defined in a standard cell for use in a circuit pattern of the semiconductor device designed by using standard cells, designing a pattern layout of an aperture mask in which character apertures having an aperture shape corresponding to an extracted character shape are arranged in a plurality of aperture blocks able to be irradiated with charged particle beam by deflection, and generating one piece of exposure data in which position information of the character apertures in the aperture blocks, and an irradiation position of a sample with the charged particle beam shaped by the character apertures are recorded and which is common to a plurality of layers defined in the standard cell based on the designed pattern layout.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: June 29, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Ryoichi Inanami
  • Patent number: 6753117
    Abstract: A method for reducing line edge roughness of patterned photoresist, include at least: provide a patterned photoresist which has at least a trench and is located on a substrate; fill trenches so let that trenches are totally filled by an additional material, wherein the additional material is easily to bond with the patterned photoresist; remove part of the additional material which is located on patterned photoresist and the substrate; and treat the additional material so let that adhesion between the additional material and patterned photoresist is enhanced after the additional material is treated. Moreover, while only trenches are filled by the additional material, step of removing part of the additional material could be omitted; while adhesion between the additional material and patterned photoresist is good, step of treating the additional material could be omitted.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: June 22, 2004
    Assignee: Macronix International Co., Ltd.
    Inventor: Yen-Ting Lu
  • Patent number: 6753129
    Abstract: A method for increasing the etch resistance of positive working chemically amplified photoresist such as 193 nanometer sensitive photoresist, 157 nanometer sensitive photoresist, and deep-UV 248 nanometer sensitive photoresist while improving and maintaining fidelity of lithographic features and critical dimensions. The method involves coating and drying a photosensitive composition onto a substrate. The photosensitive composition comprises a water insoluble, acid decomposable polymer which is substantially transparent to ultraviolet or x-ray radiation and a compound capable of generating an acid upon exposure to sufficient activating ultraviolet, electron beam or x-ray radiation energy.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: June 22, 2004
    Assignee: Applied Materials Inc.
    Inventors: William R. Livesay, Matthew F. Ross, Richard L. Ross
  • Publication number: 20040106063
    Abstract: Although use of a nitrogen-containing compound as a basic compound component of a resist composition makes it possible to ease the T-top problem at an acid dissociation constant pKa falling within a range of 2 to 6, it is accompanied with the problem that the reaction, that is, acid diffusion upon use of a highly-reactive acid-labile group cannot be controlled. In order to overcome this problem, one or more basic compounds selected from those represented by the following formulas (I) to (III) and (1) to (4) are employed.
    Type: Application
    Filed: July 9, 2003
    Publication date: June 3, 2004
    Inventors: Jun Hatakeyama, Youichi Ohsawa, Takeru Watanabe
  • Patent number: 6743570
    Abstract: A polycarbonate polymer such as poly(cyclohexene carbonate) acts as a positive electron beam resist, is substantially transparent to ultra violet light and that depolymerizes when heated. The polymer acts as a positive electron beam resist at 5 kV, and depolymerizes at temperatures between approximately 200-300° C. The polymer is removable from underneath other layers by heating, facilitating fabrication of overhanging structures such as tubes by depositing layers on top of the polymer.
    Type: Grant
    Filed: May 24, 2002
    Date of Patent: June 1, 2004
    Assignee: Cornell Research Foundation, Inc.
    Inventors: Cindy K. Harnett, Harold G. Craighead, Geoff Coates
  • Patent number: 6740459
    Abstract: A method of designing a chemical amplification type photosensitive composition containing an acid generating agent, wherein the average distance between exposure particles in an exposure area of the photosensitive composition upon pattern exposure of the photosensitive composition or the average distance between the acids generated by the pattern exposure is calculated based on the sensitivity required of the photosensitive composition, and the composition of the photosensitive composition is set so that the diffusion length of the acid generated from the acid generating agent by the pattern exposure is greater than the calculated average distance.
    Type: Grant
    Filed: March 20, 2002
    Date of Patent: May 25, 2004
    Assignee: Sony Corporation
    Inventor: Masaki Yoshizawa
  • Publication number: 20040096755
    Abstract: A mask blank has a plurality of pattern formation regions in which mask circuit patterns are to be formed, and a supporting region in which any mask circuit pattern is not to be formed. The supporting region is provided for holding the plurality of pattern formation regions while separating the plurality of pattern formation regions from each other. The supporting region has first and second alignment marks. Exposure of a mask made from the mask blank for forming mask circuit patterns thereon is performed on the basis of the first alignment marks, and exposure of a substrate for forming circuit patterns thereon is performed on the basis of the second alignment marks. With this configuration, a mask used for charged particle beam reduction-and-division transfer exposure can be highly accurately produced at a low cost, and exposure of a substrate can be highly accurately performed by using the mask.
    Type: Application
    Filed: November 13, 2003
    Publication date: May 20, 2004
    Inventors: Kaoru Koike, Shigeru Moriya
  • Publication number: 20040091817
    Abstract: An electron beam lithography method for performing lithography of elements included in a pattern by scanning a disk having resist coated thereon, placed on a rotating stage which is movable in a radial direction of the disk, with an electron beam while rotating the rotating stage. The electron beam has a beam diameter smaller than a minimum width of an element shape. The electron beam is reciprocally oscillated in a circumferential direction X approximately orthogonal to a radial direction Y of the disk and deflected in the radial direction Y, thereby filling in the element shape. Lithography of the elements is sequentially performed by rotating the disk unidirectionally, and thus a desired micropattern is drawn in the entire region of the disk. A lithographic length L of the element in the circumferential direction X may be defined by amplitude of the reciprocal oscillation of the electron beam.
    Type: Application
    Filed: November 5, 2003
    Publication date: May 13, 2004
    Applicant: FUJI PHOTO FILM CO., LTD.
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Patent number: 6733932
    Abstract: An estimated pattern for forming a mask is divided into rectangles, a selected region is selected from the pattern, and, when a minute shape is present in the selected region, the selected region is redivided into a plurality of rectangles. The selected region is a region in which a gate electrode of a transistor, a contact, or a via hole is formed.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: May 11, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Takeshi Hamamoto, Youji Tonooka
  • Publication number: 20040086804
    Abstract: A resist pattern can be formed on a microelectronic substrate, the resist pattern comprising a resist material. A coating layer, including a water-soluble resin, is formed on the resist pattern, wherein the water-soluble resin and the resist material are miscible with one another and intermix to provide an intermixed layer comprising the resist material and the water-soluble resin between the resist pattern and a non-intermixed coating layer. The intermixed layer can be hardened and the non-intermixed coating layer can be removed from the hardened intermixed layer.
    Type: Application
    Filed: October 8, 2002
    Publication date: May 6, 2004
    Inventors: Sangjun-Choi, Sihyeung-Lee, Hyoungdo Kim, Woosung-Han