Electron Beam Patents (Class 430/942)
  • Patent number: 7135256
    Abstract: In photomask making, the environmental sensitivity of a chemically amplified photoresist is eliminated, or at least substantially reduced, by overcoating the photoresist with a thin coating (topcoat) of a protective but transmissive material. To provide improved stability during the long time period required for direct writing of a photomask pattern, typically in the range of about 20 hours, the protective topcoat material is pH adjusted to be as neutral in pH as possible, depending on other process variable requirements. For example, a pH adjusted to be in the range from about 5 to about 8 is particularly helpful. Not only is the stability of the chemically amplified photoresist better during direct writing when the protective topcoat is pH adjusted, but a photoresist-coated substrate with pH adjusted topcoat over its surface can be stored longer prior to imaging without adverse consequences.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: November 14, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Melvin Warren Montgomery, Jeffrey A. Albelo, Zoilo Cheng Ho Tan
  • Patent number: 7132221
    Abstract: It is very difficult to produce a negative wall angle from either negative or positive-tone chemically amplified resists, especially by e-beam lithography. This problem has now been overcome by first forming a photoresist pedestal in the conventional way, followed by flood exposing with electrons. Then, a second development treatment is given. This results in removal of additional material from the sidewalls, said removal being greatest at the substrate and least at the pedestal's top surface, resulting in negatively sloping sidewalls. Application of this method to a process for forming a pole tip for a vertical magnetic writer is also discussed.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: November 7, 2006
    Assignee: Headway Technologies, Inc.
    Inventors: Chao-Peng Chen, Jei-Wei Chang, Xiaohong Yang
  • Patent number: 7129024
    Abstract: An electron beam lithography method includes extending the widths of a plurality of stripes which divide a region where an electron beam exposure is to be performed, so that the boundaries of the stripes overlap adjacent stripes at each boundary, and sequentially exposing each of the stripes to an electron beam.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: October 31, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Won-Tai Ki
  • Patent number: 7125645
    Abstract: A composite photoresist structure includes an first organic layer located on a substrate, a sacrificial layer located on the first organic layer, and a second organic layer located on the sacrificial layer. The first organic layer is made of materials that can be easily removed by plasma. Therefore, the surface of the substrate will not be damaged while transferring a predetermined pattern onto the substrate.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: October 24, 2006
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Tsen Huang
  • Patent number: 7122297
    Abstract: There are provided a photosensitive resin composition containing at least a polymer compound having a sugar structure, which has at least two species of functional groups cleavable in the presence of an acid, and a photo acid generator generating an acid by radiation of an electromagnetic wave or a beam of an electrically charged particle, and in addition, a resist composition, a method for fabricating a patterned substrate for fabricating a semiconductor device and the like, and a device such as a highly integrated semiconductor and the like.
    Type: Grant
    Filed: September 17, 2004
    Date of Patent: October 17, 2006
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hiroshi Maehara
  • Patent number: 7081325
    Abstract: Photoresist polymers and photoresist compositions containing the same are disclosed. A negative photoresist composition containing a photoresist polymer comprising a repeating unit represented by Formula 4 prevents collapse of patterns when photoresist patterns of less than 50 nm are formed. Accordingly, the disclosed negative photoresist composition is very effective for a photolithography process using EUV (Extreme Ultraviolet, 13 nm) light source. wherein R1, R2, R3, R4, R5, R6, R7, a, b and c are as defined in the description.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: July 25, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung Koo Lee, Jae Chang Jung
  • Patent number: 7067220
    Abstract: A method of producing a particle beam mask and mask structures to allow for the use of dummy fill shapes. This invention overcomes distortion in by adding a dummy shape in unexposed regions and applying a blocking layer to cover the dummy shape. The blocking layer is comprised of an aperture or additional mask mounted close to the mask or can be added to the mask itself.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: June 27, 2006
    Assignee: International Business Machines Corporation
    Inventors: Michael James Lercel, David Walker
  • Patent number: 7060397
    Abstract: A method of correcting a defective portion of an exposure window in a lithography mask, such as an EPL mask, includes a first step of irradiating a defective portion of the exposure window using a charge particle beam to perform correction processing, and a second step of irradiating another portion of the exposure window with the charged particle beam to eliminate attached matter therefrom, the attached matter consisting of particles ejected from the defective portion of the exposure window as a result of irradiation with the charged particle beam during the first step. The first step and the second step are sequentially repeated N times, wherein N is an integer of 2 or more, to thereby reduce the time needed for eliminating the attached matter.
    Type: Grant
    Filed: June 2, 2003
    Date of Patent: June 13, 2006
    Assignee: SII NanoTechnology Inc.
    Inventors: Yo Yamamoto, Kouji Iwasaki, Masamichi Oi
  • Patent number: 7052806
    Abstract: The present invention relates to an exposure controlling photomask used to form a three-dimensional face structure in a resist pattern and having a light interrupting film able to be continuously controlled in transmitted light amount from 100% to 0%, and a production method thereof. A light interrupting film 2 is deposited on a substrate 3, and a photosensitive material 6 is coated on this light interrupting film 2. Next, irradiation is performed by changing the irradiating amount of an electron beam every place of the photosensitive material 6 using an electron beam exposure technique. Next, development is performed and the photosensitive material is formed in the three-dimensional face structure. Next, in an etching process, the three-dimensional face structure is transferred to the light interrupting film 2 by etching-back the photosensitive material 6 and the light interrupting film 2 as a foundation.
    Type: Grant
    Filed: March 14, 2001
    Date of Patent: May 30, 2006
    Inventor: Takashi Nishi
  • Patent number: 7049044
    Abstract: The present invention provides new high resolution nanocomposite resists applicable to next generation lithographies, methods of making these novel resists, and methods of using these new resists in lithographic processes to effect state-of-the-art lithographies. New nanocomposite negative resists comprising a photoacid generating component, a styrene component, and an optional polyhedral oligosilsequioxane component are provided. Negative resists of this invention may also contain an optional methacrylate component. This invention and the embodiments described herein constitute fundamentally new architectures for high resolution resists.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: May 23, 2006
    Assignee: The University of North Carolina at Charlotte
    Inventors: Kenneth Gonsalves, Mohammed Azam Ali
  • Patent number: 7045275
    Abstract: This invention relates to a multi-layer lithographically fabricated device used to produce improved thin-film recording heads. It further relates to a focused particle beam system for milling a recording head pole-tip assembly without irradiating a sensitive structure, e.g. a read head, of the recording head. The invention precisely forms a pole-tip assembly by milling a second structural element without irradiating a first structural element. The invention avoids irradiating the first structural element by placing a first marker element, which can be imaged and/or damaged, in the same layer of a multi-layer lithographically fabricated device as the first structural element. The marker element has a fixed spatial relationship to the first structural element.
    Type: Grant
    Filed: June 16, 2003
    Date of Patent: May 16, 2006
    Assignee: FEI Company
    Inventors: Randall Grafton Lee, Charles J. Libby
  • Patent number: 7036980
    Abstract: A pattern forming apparatus includes a drawing chamber having a drawing substrate on which an original pattern is drawn, a first temperature control unit having a first temperature regulator to make the temperature of the drawing chamber constant, and a constant-temperature member arranged near the drawing substrate. The pattern forming apparatus further includes a second temperature control unit having a second temperature regulator. The second temperature control unit is configured to control the set temperature of the constant-temperature member independently such that the temperature of the drawing substrate becomes substantially constant when the original pattern is drawn.
    Type: Grant
    Filed: December 27, 2002
    Date of Patent: May 2, 2006
    Assignees: Kabushiki Kaisha Toshiba, Toshiba Machine Co., Ltd.
    Inventors: Ryoichi Hirano, Satoshi Imura, Noriaki Nakayamada
  • Patent number: 7037641
    Abstract: A silver halide emulsion sheet for detecting track of charged elementary particles, in which emulsion at least one silver halide emulsion layer and at least one hydrophilic protective colloid layer are applied on both surfaces of a transparent support, and a compound selected from benzotriazoles is contained in said at least one silver halide emulsion layer. A processing method for processing the above-described silver halide emulsion sheet with a developer comprising a developing agent represented by formula (A): wherein R1 represents a hydrogen atom, an alkyl group, an aryl group, or a heterocyclic group. A developer for use in developing the above-described silver halide emulsion sheet, comprising a developing agent represented by the above-mentioned formula (A).
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: May 2, 2006
    Assignee: Fuji Photo Film Co.,Ltd.
    Inventor: Ken-ichi Kuwabara
  • Patent number: 7033728
    Abstract: The present invention relates to a photosensitive composition useful at wavelengths between 300 nm and 10 nm which comprises a polymer containing a substituted or unsubstituted higher adamantane.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: April 25, 2006
    Assignee: AZ Electronic Materials USA Corp.
    Inventor: Ralph R. Dammel
  • Patent number: 7029798
    Abstract: A method of forming a topographical pattern in a surface of a resist layer, comprising sequential steps of: (a) providing a substrate having a surface; (b) forming a desired thickness resist material layer on the substrate surface; (c) subjecting selected areas of the surface of the resist layer to exposure to an energy beam to form therein a latent image of a desired topographical pattern to be formed therein; (d) contacting the surface of the resist layer with a liquid developing solution comprising a preselected solvent for developing the latent image into the desired topographical pattern while simultaneously supplying ultrasonic energy thereto, the combination of supplying the ultrasonic energy to the preselected solvent providing an increased developing interval and improved image contrast between the exposed and unexposed areas of the layer of resist material, relative to when the liquid developing solution does not comprise the preselected solvent and the ultrasonic energy is not supplied thereto.
    Type: Grant
    Filed: June 25, 2003
    Date of Patent: April 18, 2006
    Assignee: Seagate Technology LLC
    Inventor: Christopher Formato
  • Patent number: 7029801
    Abstract: This invention provides a method of manufacturing mask for electron beam lithography and a mask blank for electron beam lithography, which could prevent damage upon a front side of an SOI (Silicon On Insulator) layer and also provide desirable etching of a silicon base layer of an SOI substrate is used. A mask blank for electron beam lithography is manufactured as an intermediary product by etching a silicon base layer and a BOX layer subsequent to forming a protective layer on a front side of an SOI (Silicon On Insulator) layer simultaneously with forming a hard mask on a back side of the SOI layer. Then, an etching process is performed upon the SOI layer to thereby complete a manufacture process of a mask for electron beam lithography having an aperture for transmitting an electron beam therethrough.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: April 18, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuhiro Yuasa
  • Patent number: 7026098
    Abstract: An electron beam lithography method for performing lithography of elements included in a pattern by scanning a disk having resist coated thereon, placed on a rotating stage which is movable in a radial direction of the disk, with an electron beam while rotating the rotating stage. The electron beam has a beam diameter smaller than a minimum width of an element shape. The electron beam is reciprocally oscillated in a circumferential direction X approximately orthogonal to a radial direction Y of the disk and deflected in the radial direction Y, thereby filling in the element shape. Lithography of the elements is sequentially performed by rotating the disk unidirectionally, and thus a desired micropattern is drawn in the entire region of the disk. A lithographic length L of the element in the circumferential direction X may be defined by amplitude of the reciprocal oscillation of the electron beam.
    Type: Grant
    Filed: November 5, 2003
    Date of Patent: April 11, 2006
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Kazunori Komatsu, Toshihiro Usa
  • Patent number: 7011927
    Abstract: An electron beam duplication lithography apparatus and method for focusing electrons emitted from a mask plate as a result of an application of an electric field between a mask plate and a duplication plate. Irradiation of electrons from the mask plate is assisted through an electric field lens or magnetic field lens, or a combination thereof from an electron field emission material formed into a pattern on a flat surface of a substrate. The result is that a congruent or similar pattern is lithographed by electron beam exposure onto an electron beam resist film from a field emission film having the congruent or similar pattern to be created.
    Type: Grant
    Filed: January 21, 2005
    Date of Patent: March 14, 2006
    Assignee: Si Diamond Technology, Inc.
    Inventor: Seiichi Iwamatsu
  • Patent number: 7011915
    Abstract: An exposure parameter obtaining method comprising forming a charged reference pattern and a plurality of charged exposure patterns at a surface region of a to-be-exposed insulation substrate by projecting a charged beam with a first incident energy using a reference pattern whose exposure parameter has been known beforehand and all of selected exposure patterns to be corrected, forming electron signal images for the charged reference pattern and the plurality of charged exposure patterns on the basis of charged particles including secondary electrons by scanning the surface of the insulation substrate with a charged beam with a second incident energy lower than the first incident energy, and creating, on the basis of the electron signal images, the exposure parameters including at least one of position, focal point, astigmatism, rotation, and magnification for all of the selected exposure patterns to be corrected.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: March 14, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Tetsuro Nakasugi
  • Patent number: 7012261
    Abstract: A multipole lens producing less magnetic field variations is offered. Also, a charged-particle beam instrument fitted with such multipole lenses is offered. The multipole lens has plural polar elements, an annular holding member, and an annular yoke disposed outside the holding member. Each polar element has a held portion and a base-end portion. The held portions of the polar elements are held by the holding member. The yoke is magnetically coupled to the base-end portions of the polar elements. The yoke is provided with openings extending circumferentially. The base-end portions of the polar elements are positioned in the openings.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: March 14, 2006
    Assignee: JEOL, Ltd.
    Inventors: Eiji Kawai, Joachim Zach, Klaus Hessenauer
  • Patent number: 7008749
    Abstract: The present invention provides new high resolution resists applicable to next generation lithographies, methods of making these novel resists, and methods of using these new resists in lithographic processes to effect state-of-the-art lithographies. New nanocomposite resists comprising nanoparticles in a polymer matrix are provided in this invention. New chemically amplified resists that incorporate inorganic moieties as part of the polymer are presented herein, as are new chemically amplified resists that incorporate photoacid generating groups within the polymeric chain. Novel non-chemically amplified yet photosensitive resists, and new organic-inorganic hybrid resists are also provided herein. This invention and the embodiments described herein constitute fundamentally new architectures for high resolution resists.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: March 7, 2006
    Assignee: The University of North Carolina at Charlotte
    Inventor: Kenneth E. Gonsalves
  • Patent number: 7005220
    Abstract: A method for structuring a lithograph mask by forming a cured, electrically-conductive layer on a mask structure having a radiation-transmissive substrate and a mask layer at least in portions of the surface of the radiation-transmissive substrate before applying a resist layer, so that during a subsequent irradiation of the resist layer by means of an electronic printing, the electrically conductive layer ensures a good charge elimination. By using a cured, electrically conductive layer, no intermixing effects between the electrically-conductive layer and the resist layer occur, and the electrically-conductive layer will be stable during subsequent development steps and not stripped off.
    Type: Grant
    Filed: June 19, 2003
    Date of Patent: February 28, 2006
    Assignee: Infineon Technologies AG
    Inventors: Klaus Elian, Armelle Vix
  • Patent number: 7005237
    Abstract: A photolithographic method of making an information storage device having different storage characteristics at a plurality of discrete memory locations thereon, comprises the steps of: (a) providing a substrate having a surface portion, said surface portion having a linking group coupled thereto or charge storage group coupled thereto, said linking group or charge storage group having a photocleavable protecting group thereon; (b) exposing at least one first discrete segment of said surface portion to radiant energy sufficient to cleave said protecting group from said linking group or charge storage group and generate a deprotected group, so that said group is deprotected in at least one first discrete memory location and preferably said group remains protected in at least one second discrete memory location. Additional groups are then coupled to the deprotected group as desired. Products produced by such methods are also described.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: February 28, 2006
    Assignee: North Carolina State University
    Inventor: Jonathan S. Lindsey
  • Patent number: 7001710
    Abstract: A method for forming an ultra fine contact hole includes: forming a KrF photoresist pattern on a semiconductor substrate providing an insulation layer, the KrF photoresist pattern exposing a predetermined region for forming a contact hole on the insulation layer; forming a chemically swelling process (CSP) chemical material-containing layer being reactive to the KrF photoresist pattern on an entire surface of the semiconductor substrate; forming a chemical material-containing pattern encompassing the KrF photoresist pattern by reacting the chemical material-containing layer with the KrF photoresist pattern through a chemically swelling process to decrease a critical dimension of the contact hole; rinsing the semiconductor substrate; and increasing a thickness of a sidewall of the chemical material-containing pattern to a predetermined thickness by performing a resist flow process (RFP) that makes the chemical material-containing pattern flowed to decrease the critical dimension (CD) of the contact hole.
    Type: Grant
    Filed: July 18, 2003
    Date of Patent: February 21, 2006
    Inventors: Sang-Tae Choi, Seung-Weon Paek
  • Patent number: 6998216
    Abstract: In an embodiment, a trench is formed above a via from a photo resist (PR) trench pattern in a dielectric layer. The trench is defined by two sidewall portions and base portions. The base portions of the sidewalls are locally treated by a post treatment using the PR trench pattern as mask to enhance mechanical strength of portions of the dielectric layer underneath the base portions. Seed and barrier layers are deposited on the trench and the via. The trench and via are filled with a metal layer. In another embodiment, a trench is formed from a PR trench pattern in a dielectric layer. A pillar PR is deposited and etched to define a pillar opening having a pillar surface. The pillar opening is locally treated on the pillar surface by a post treatment to enhance mechanical strength of portion of the dielectric layer underneath the pillar surface.
    Type: Grant
    Filed: September 24, 2002
    Date of Patent: February 14, 2006
    Assignee: Intel Corporation
    Inventors: Jun He, Jihperng Leu
  • Patent number: 6998201
    Abstract: A complementary mask has a plurality of pattern forming regions 34a, 34 having arranged on them complementary patterns 26, 28 obtained by dividing first circuit patterns into complementary patterns 26, 28 complementary with each other and formed by openings. The complementary patterns 26, 28 are arranged in the pattern forming regions 34a, 34b so that pattern densities of the pattern forming regions 34a, 34b become substantially the same.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: February 14, 2006
    Assignee: Sony Corporation
    Inventor: Kaoru Koike
  • Patent number: 6994946
    Abstract: Novel silicon-containing polymers are provided comprising recurring units having a POSS pendant and units which improve alkali solubility under the action of an acid. Resist compositions comprising the polymers are sensitive to high-energy radiation and have a high sensitivity and resolution at a wavelength of up to 300 nm and improved resistance to oxygen plasma etching.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: February 7, 2006
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Jun Hatakeyama, Takanobu Takeda
  • Patent number: 6977128
    Abstract: A multi-layered semiconductor structure having an alignment feature for aligning a lithography mask and that may be used in connection with a SCALPEL tool. The present invention is particularly well-suited for sub-micron CMOS technology devices and circuits, but is not limited thereto. The present invention advantageously permits use of an electron beam source for both alignment and exposure of a lithography mask on a semiconductor wafer. The present invention also advantageously enables the formation of an alignment feature early (i.e., zero-level) in the semiconductor device fabrication process.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: December 20, 2005
    Assignee: Agere Systems Inc.
    Inventors: David M. Boulin, Reginald C. Farrow, Isik C. Kizilyalli, Nace Layadi, Masis Mkrtchyan
  • Patent number: 6974649
    Abstract: The present invention relates to a stencil mask for non-optical lithography and a method for fabricating such a mask. The disclosed stencil mask includes a frame for supporting the whole structure; a membrane disposed on the frame for equalizing stresses resulting from the electron beam; and a scattering layer pattern disposed on the membrane for scattering the electron beam. The scattering layer pattern includes regions of varying thickness and/or scattering performance that permit the exposure to be adjusted for areas having greater or lesser pattern density. These adjustments can reduce defects resulting from proximity effects, improve the uniformity of critical features, and improve the yield and reliability of the resulting devices.
    Type: Grant
    Filed: July 2, 2001
    Date of Patent: December 13, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Cheol Kyun Kim
  • Patent number: 6972165
    Abstract: An electron beam exposure mask which makes it possible to reduce the number of times of exchange and to shorten the exposure time, is disclosed. The electron beam exposure mask (10) comprises at least two each of one or at least two kinds of exposure regions, for example, three kinds of exposure regions consisting of hole layer exposure regions (A), wiring layer exposure regions (B), and gate layer exposure regions (C). By this, at the time of conducting exposure by use of each exposure region, it suffices to move the exposure region to a predetermined exposure position, and it is unnecessary to exchange the electron beam exposure mask (10) by taking it out of the electron beam exposure device.
    Type: Grant
    Filed: May 30, 2002
    Date of Patent: December 6, 2005
    Assignee: Sony Corporation
    Inventor: Ichiro Kagami
  • Patent number: 6969571
    Abstract: To provide an exposure method and an exposure apparatus, using a complementary divided mask, designed to enable alignment of a complementary divided mask at a high precision over the entire region of a semiconductor wafer. Further, to provide a semiconductor device fabricated by the exposure method and a method of producing a semiconductor device using the exposure method.
    Type: Grant
    Filed: November 29, 2002
    Date of Patent: November 29, 2005
    Assignee: Sony Corporation
    Inventors: Shinichiro Noudo, Kumiko Oguni, Hiroyuki Nakano, Hiroki Hane
  • Patent number: 6964832
    Abstract: A method is provided for solving a problem that the fine processing property is degraded by an increase of a current applied to complementarily divided masks in an electron beam projection process. In the method, the complementarily divided masks used for electron projection are used whereby one mask is used for patterns requiring high dimensional accuracy and another is used for other patterns. Consequently, it is possible to lower the current applied to the patterns requiring high dimensional accuracy to realize high printing accuracy. In addition, the highly accurate patterns can be formed at a high throughput.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: November 15, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Akemi Moniwa, Jiro Yamamoto, Fumio Murai, Hiroshi Fukuda
  • Patent number: 6953644
    Abstract: A method for compensating for scatter/reflection effects in particle beam lithography includes the following steps: providing at least one layer of a material that is sensitive to particle beams, using at least one particle beam to write predetermined patterns in a limited area of the material that is sensitive to particle beams, and using at least one particle beam to write at least one frame, which surrounds the limited area, into the material that is sensitive to particle beams so that variations in the background dose within the limited area are less than 30% of the maximum background dose within the limited area. This provides the advantage that a considerably more homogeneous background dose and hence considerably less variation in the CD measure, can be produced within the area that is written to by the particle beam, in a simple and cost-effective manner.
    Type: Grant
    Filed: April 7, 2003
    Date of Patent: October 11, 2005
    Assignee: Infineon Technologies AG
    Inventors: Christian Ebi, Frank Erber, Torsten Franke, Fritz Gans, Tarek Lutz, Günther Ruhl, Bernd Schönherr
  • Patent number: 6953643
    Abstract: Mask Shaping using temporal and spatial Coherence for Ultra High Resolution Lithographic imaging and printing refers to methods and apparatus that can be adopted to print near-ideal images of basic shapes when the shapes are asymmetrical. Ultra High Resolution Lithography refers to proximity printing of clear mask fetures when they are demagnified by bias. In this lithography, optical components, including lenses and mirrors, are not used between the mask and wafer. When a clear mask feature is asymmetric and the mask-wafer gap is set so that the Critical Condition is maintained for the shortest print dimension, then undesirable features typically appear in other longer dimensions consistent with Fresnel diffraction. The undesirable featurs impede illumination uniformity for controlled printing in exposed areas. Such features, including Bright Spots and Ripple, are counteracted by the Mask Shaping that is designed to optimize printing with temporal and spatial coherence near the Critical Condition.
    Type: Grant
    Filed: January 8, 2002
    Date of Patent: October 11, 2005
    Inventor: Antony J Bourdillon
  • Patent number: 6949320
    Abstract: The preparation method of an exposure original plate according to the present invention includes a step of subdividing a pattern constituting an exposure original plate into a plurality of rectangular patterns, a step of extracting micro patterns having the size of a side smaller than a prescribed value from among the divided individual rectangular patterns, a step of forming a corrected micro pattern by increasing the size of the side of the extracted micro pattern perpendicular to the side making contact with an adjacent patter at least by the prescribed value, a step of forming a corrected adjacent pattern by retreating the side of the adjacent pattern making contact with the corrected micro pattern by the increased amount corresponding to the prescribed value, and a step of finding EB exposure data for the pattern including the corrected micro pattern and the corrected adjacent pattern, and carrying out EB exposure by the variable shaped beam exposure method based on the EB exposure data.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: September 27, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Seiji Miyagawa
  • Patent number: 6946663
    Abstract: A method of efficiently fabricating a multipole lens. The multipole lens has plural polar elements and an annular holding member. Each polar element has a held portion. The annular holding member is provided with through-holes for holding the held portions of the polar elements. A resin is injected into the through-holes in the holding member via openings formed in the holding member, the openings being in communication with the through-holes. The injected resin is cured, thus holding the held portions of the polar elements to the holding member within the through-holes.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: September 20, 2005
    Assignee: Jeol Ltd.
    Inventor: Eiji Kawai
  • Patent number: 6936402
    Abstract: Disclosed herein is a novel norbornene, acrylate or methacrylate monomer as a photoresist monomer containing an oxepan-2-one group. Further disclosed are photoresist compositions comprising a polymer prepared from the monomer, methods for preparing the photoresist compositions, and methods for forming photoresist patterns using the photoresist compositions.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: August 30, 2005
    Assignee: Korea Advanced Institute Science & Technology
    Inventors: Jin-Baek Kim, Tae-Hwan Oh, Jae-Hak Choi, Jae-Jun Lee
  • Patent number: 6929892
    Abstract: In monitoring of an exposure process, a highly isolative pattern greatly changed in a shape of cross section by fluctuations in the exposure dose and the focal position is an observation target. Especially, to detect a change in a resist shape of cross section from a tapered profile to an inverse tapered profile, one of the following observation methods is employed to obtain observation data: (1) a tilt image of a resist pattern is imaged by using tilt imaging electron microscopy, (2) an electron beam image of a resist pattern is imaged under imaging conditions for generating asymmetry on an electron beam signal waveform, and (3) scattering characteristic data of a resist pattern is obtained by an optical measurement system. The observation data is applied to model data created beforehand in accordance with the exposure conditions to estimate fluctuations in the exposure dose and the focal position.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: August 16, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Chie Shishido, Hidetoshi Morokuma, Yuki Ojima, Maki Tanaka, Wataru Nagatomo
  • Patent number: 6924078
    Abstract: Photoresist monomers, polymers thereof, photoresist compositions containing the same for preventing acid generated in the exposed area during the course of a photolithography process from being diffused to the unexposed area. The line edge roughness and slope pattern are improved when an ultrafine photoresist pattern is formed using photoresist copolymer having a multi-oxygen-containing compound as a repeating unit such as an ethyleneoxy moiety represented by Formula 1 with at least one polymerizable carbon-carbon double bond. In addition, the shape of pattern is improved by eliminating top loss and the adhesion of pattern to the substrate is improved. wherein n is an integer ranging from 1 to 5.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: August 2, 2005
    Assignees: Hynix Semiconductor Inc., Dongjin Semichem Co., Ltd.
    Inventors: Geun Su Lee, Jae Chang Jung, Ki Soo Shin, Se Jin Choi, Deog Bae Kim, Jae Hyun Kim
  • Patent number: 6924084
    Abstract: The present invention describes a method including providing a substrate; forming a photoresist on the substrate; performing a post-apply bake on the photoresist; exposing the photoresist to actinic radiation; performing a post-exposure bake on the photoresist; developing the photoresist; and performing electron exposure on the photoresist to reduce line edge roughness.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: August 2, 2005
    Assignee: Intel Corporation
    Inventor: Neil S. Wester
  • Patent number: 6919164
    Abstract: A method of patterning a layer of e-beam sensitive dielectric material, such as bisbenzocyclobutene monomers, using electron beam lithography.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: July 19, 2005
    Assignee: The Penn State Research Foundation
    Inventors: Guy P. Lavallee, Jeffrey M. Catchmark, Youngchul Lee
  • Patent number: 6920368
    Abstract: The present invention relates to a method for determining the precompensated pattern of exposure doses of an electron beam required per pattern position to obtain a desired pattern in a coating on a substrate, comprising the steps of: determining a smearing function of the electron beam; determining a precompensated pattern with the smearing function and the desired pattern, wherein the determination is performed such that exposure doses contain almost exclusively positive values and the exposure doses are smooth relative to each other.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: July 19, 2005
    Inventors: Dirk Ernst Maria Van Dyck, Piotr Tomasz Jedrasik
  • Patent number: 6916600
    Abstract: A silver halide emulsion sheet for detecting track of charged elementary particles, in which emulsion at least one silver halide emulsion layer and at least one hydrophilic protective colloid layer are applied on both surfaces of a transparent support, and a compound selected from benzotriazoles is contained in said at least one silver halide emulsion layer. A processing method for processing the above-described silver halide emulsion sheet with a developer comprising a developing agent represented by formula (A): wherein R1 represents a hydrogen atom, an alkyl group, an aryl group, or a heterocyclic group. A developer for use in developing the above-described silver halide emulsion sheet, comprising a developing agent represented by the above-mentioned formula (A).
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: July 12, 2005
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Ken-ichi Kuwabara
  • Patent number: 6916598
    Abstract: This invention discloses compositions that can be polymerized/crosslinked imagewise upon exposure to ionization radiation such as x-ray, electron beam, ion beam, and gamma-ray. This invention also discloses methods of use for these compositions for microfabrication of ceramics, for stereolithography, and for x-ray, e-beam, and ion-beam lithography which can be used for photoresists.
    Type: Grant
    Filed: March 21, 2003
    Date of Patent: July 12, 2005
    Assignee: E. I. du Pont de Nemours and Company
    Inventor: Ying Wang
  • Patent number: 6913861
    Abstract: Size characteristic quantities are measured at a plural locations. The size characteristic quantities include edge widths, pattern widths, and/or pattern lengths of the electron-beam images of a resist-dropout pattern and a resist-remaining pattern that are located such that the effective exposure quantities differ depending on the places. With the predetermined measurement errors added thereto, the size characteristic quantities are compared with model data that has been created in advance and that causes various exposure conditions to be related with the size characteristic quantities measured under these various exposure conditions. This comparison makes it possible not only to estimate deviation quantities in the exposure quantity and the focal-point position from the correct values, but also to calculate ambiguity degrees of the estimated values. This, allows the implementation of a proper monitoring/controlling of the exposure-condition variations (i.e.
    Type: Grant
    Filed: February 18, 2003
    Date of Patent: July 5, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Chie Shishido, Osamu Komuro, Hidetoshi Morokuma, Ryo Nakagaki, Maki Tanaka, Yuuji Takagi
  • Patent number: 6913868
    Abstract: Methods for forming a patterned layer of amorphous carbon on a substrate are described. A layer of amorphous carbon may be formed on the substrate. A layer of electron sensitive resist may be formed on top of the amorphous carbon layer. A pattern transferred into the electron sensitive resist layer with an electron beam writing process is developed. During the electron beam writing process, electrons may be conducted away from the writing area through the amorphous carbon layer. The amorphous carbon layer may be etched through in at least one region defined by the pattern developed into the layer of electron sensitive resist material. For some embodiments, the amorphous carbon layer may be formed by chemical vapor deposition. For some embodiments, the layer of electron sensitive resist may be hydrogen silsesquioxane (HSQ).
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: July 5, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Christopher D. Bencher, Ian S. Latchford
  • Patent number: 6905802
    Abstract: A method for exposing a blanket photoresist layer employs: (1) a first direct write exposure of the blanket photoresist layer to form therein an exposed peripheral sub-region of a desired exposed pattern; and (2) a second masked photoexposure of the blanket photoresist layer to form therein a masked photoexposed bulk sub-region of the desired exposed pattern which overlaps but does not extend beyond the exposed peripheral sub-region. The once masked photoexposed once direct write exposed blanket photoresist layer may be developed to form a patterned photoresist layer employed for forming a patterned opaque layer border within an opaque bordered attenuated phase shift mask.
    Type: Grant
    Filed: August 9, 2003
    Date of Patent: June 14, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Cheng-Ming Lin
  • Patent number: 6906336
    Abstract: Exposure methods are disclosed for use in charged-particle-beam microlithography and that yield decreased blur and variation in blur within individual exposure fields (subfields) of a pattern. Blur at a location on the optical axis increases monotonically with increased shift in the focal point of a subfield image on the substrate. In contrast, blur at a subfield edge exhibits comparatively little change over a limited range in focal-point shift, and exhibits sharply increased change as the shift in focal point exceeds a threshold. Variation in blur within individual subfields decreases monotonically with increased shift in the focal point. Consequently, by changing the focal point during exposure, within a range in which maximum blur within the subfield is within an acceptable range, ?blur is decreased more than conventionally, thereby increasing the uniformity of blur within the projected subfield.
    Type: Grant
    Filed: September 20, 2002
    Date of Patent: June 14, 2005
    Assignee: Nikon Corporation
    Inventor: Hiroyasu Simizu
  • Patent number: 6902854
    Abstract: Lithographic fabrication of a microelectronic component is performed with the aid of OPC and a scatter bar structure. At least one scatter bar in applied on a mask in addition to a main structure for the purpose of a subsequent imaging of the main structure from the mask onto a substrate by exposure. At leant one correction value for the OPC is selected in a particular manner in dependence upon a spacing between two parts of the main structure or spacing between neighboring main structures and the presence of a scatter bar between the two parts of the main structure. The manner in which the correction value is defined is determined by so forming an auxiliary quantity for each scatter bar, that the largest auxiliary quantity that is set is less than the smallest spacing between the parts of the main structure, so that in a program for OPC the presence of a scatter bar between the two parts of the main structure is suggested.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: June 7, 2005
    Assignee: Infineon Technologies AG
    Inventor: Beate Frankowsky
  • Patent number: 6887645
    Abstract: A negative resist composition comprises: (A) an alkali-soluble resin; (B) a compound capable of generating an acid upon irradiation with a radiation; (C) a crosslinking agent capable of crosslinking by the action of an acid; and (D) a solvent mixture containing: at least one solvent selected from the group A below; and at least one selected from the group consisting of the group B below and the group C below: group A: a propylene glycol monoalkyl ether carboxylate; group B: a propylene glycol monoalkyl ether, an alkyl lactate, an acetic ester, a chain ketone and an alkyl alkoxypropionate; group C: a ?-butyrolactone, an ethylene carbonate and a propylene carbonate.
    Type: Grant
    Filed: August 31, 2001
    Date of Patent: May 3, 2005
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Kazuya Uenishi