Multiple Doping Steps Patents (Class 438/185)
  • Patent number: 11842937
    Abstract: A transistor device includes a substrate, a semiconductor structure on the substrate, a metallization layer comprising a non-planar surface on a surface of the semiconductor structure, a non-planar encapsulation layer on the non-planar surface of the metallization layer, the non-planar encapsulation layer comprising a non-planar encapsulant surface that is opposite the non-planar surface, and a self-planarizing encapsulation layer on the non-planar encapsulation layer and comprising a planarized surface that is opposite the non-planar encapsulant surface.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: December 12, 2023
    Assignee: Wolfspeed, Inc.
    Inventors: Chris Hardiman, Daniel Namishia, Kyle Bothe, Elizabeth Keenan
  • Patent number: 10608093
    Abstract: A semiconductor device and a method of forming the same are disclosed. First, a substrate having a main surface is provided. At least a trench is formed in the substrate. A barrier layer is formed in the trench and a conductive material is formed on the barrier layer and filling up the trench. The barrier layer and the conductive material are then recessed to be lower than the upper surface of the substrate. After that, an oxidation process is performed to oxidize the barrier layer and the conductive material thereby forming an insulating layer.
    Type: Grant
    Filed: January 18, 2018
    Date of Patent: March 31, 2020
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Chia-Wei Wu, Ting-Pang Chung, Tien-Chen Chan, Shu-Yen Chan
  • Patent number: 8815667
    Abstract: Methods of forming transistors and transistors are disclosed, such as a transistor having a gate dielectric over a semiconductor having a first conductivity type, a control gate over the gate dielectric, source and drain regions having a second conductivity type in the semiconductor having the first conductivity type, and strips having the second conductivity type within the semiconductor having the first conductivity type and interposed between the control gate and at least one of the source and drain regions.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: August 26, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Michael Smith, Vladimir Mikhalev, Puneet Sharma, Zia Alan Shafi, Henry Jim Fulford
  • Patent number: 8735234
    Abstract: An improved method of doping a substrate is disclosed. The method is particularly beneficial to the creation of interdigitated back contact (IBC) solar cells. A paste having a dopant of a first conductivity is applied to the surface of the substrate. This paste serves as a mask for a subsequent ion implantation step, allowing ions of a dopant having an opposite conductivity to be introduced to the portions of the substrate which are exposed. After the ions are implanted, the mask can be removed and the dopants may be activated. Methods of using an aluminum-based and phosphorus-based paste are disclosed.
    Type: Grant
    Filed: February 16, 2011
    Date of Patent: May 27, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Atul Gupta, Nicholas Bateman
  • Patent number: 8673733
    Abstract: Methods of transferring a layer of semiconductor material from a first donor structure to a second structure include forming a generally planar weakened zone within the first donor structure defined by implanted ions therein. At least one of a concentration of the implanted ions and an elemental composition of the implanted ions may be formed to vary laterally across the generally planar weakened zone. The first donor structure may be bonded to a second structure, and the first donor structure may be fractured along the generally planar weakened zone, leaving the layer of semiconductor material bonded to the second structure. Semiconductor devices may be fabricated by forming active device structures on the transferred layer of semiconductor material. Semiconductor structures are fabricated using the described methods.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: March 18, 2014
    Assignee: Soitec
    Inventors: Mariam Sadaka, Ionut Radu
  • Patent number: 8344436
    Abstract: Some embodiments include DRAM having transistor gates extending partially over SOI, and methods of forming such DRAM. Unit cells of the DRAM may be within active region pedestals, and in some embodiments the unit cells may comprise capacitors having storage nodes in direct contact with sidewalls of the active region pedestals. Some embodiments include 0C1T memory having transistor gates entirely over SOI, and methods of forming such 0C1T memory.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: January 1, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Kunal R. Parekh
  • Patent number: 8324014
    Abstract: The present invention relates to a process for depositing films on a substrate by chemical vapour deposition (CVD) or physical vapour deposition (PVD), said process employing at least one boron compound. This process is particularly useful for fabricating photovoltaic solar cells. The invention also relates to the use of boron compounds for conferring optical and/or electrical properties on materials in a CVD or PVD deposition process. This process is also particularly useful for fabricating a photovoltaic solar cell.
    Type: Grant
    Filed: November 3, 2008
    Date of Patent: December 4, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Audrey Pinchart, Denis Jahan
  • Patent number: 8298884
    Abstract: The present disclosure provides a semiconductor device that may include a substrate including a semiconductor layer overlying an insulating layer. A gate structure that is present on a channel portion of the semiconductor layer. A first dopant region is present in the channel portion of the semiconductor layer, in which the peak concentration of the first dopant region is present within the lower portion of the gate conductor and the upper portion of the semiconductor layer. A second dopant region is present in the channel portion of the semiconductor layer, in which the peak concentration of the second dopant region is present within the lower portion of the semiconductor layer.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: October 30, 2012
    Assignee: International Business Machines Corporation
    Inventors: Geng Wang, Joseph Ervin, Jeffrey B. Johnson, Paul C. Parries
  • Patent number: 8119470
    Abstract: Sidewall spacers that are primarily oxide, instead of nitride, are formed adjacent to a gate stack of a CMOS transistor. Individual sidewall spacers are situated between a conductive gate electrode of the gate stack and a conductive contact of the transistor. As such, a capacitance can develop between the gate electrode and the contact, depending on the dielectric constant of the interposed sidewall spacer. Accordingly, forming sidewall spacers out of oxide, which has a lower dielectric constant than nitride, mitigates capacitance that can otherwise develop between these features. Such capacitance is undesirable, at least, because it can inhibit transistor switching speeds. Accordingly, fashioning sidewall spacers as described herein can mitigate yield loss by reducing the number of devices that have unsatisfactory switching speeds and/or other undesirable performance characteristics.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: February 21, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Shashank Sureshchandra Ekbote, Borna Obradovic, Lindsey Hall, Craig Huffman, Ajith Varghese
  • Patent number: 8114725
    Abstract: The present invention discloses a method of manufacturing MOS device having a lightly doped drain (LDD) structure. The method includes: providing a first conductive type substrate; forming an isolation region in the substrate to define a device area; forming a gate structure in the device area, the gate structure having a dielectric layer, a stack layer, and a spacer layer on the sidewalls of the stack layer; implanting second conductive type impurities into the substrate with a tilt angle to form an LDD structure, wherein at least some of the impurities are implanted into the substrate through the spacer to form part of the LDD structure below the spacer layer; and implanting second conductive type impurities into the substrate to form source and drain.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: February 14, 2012
    Assignee: Richtek Technology Corporation
    Inventors: Tsung-Yi Huang, Ching-Yao Yang
  • Patent number: 8110456
    Abstract: A self aligning memory device, with a memory element switchable between electrical property states by the application of energy, includes a substrate and word lines, at least the sides of the word lines covered with a dielectric material which defines gaps. An access device within a substrate has a first terminal under a second gap and second terminals under first and third gaps. First and second source lines are in the first and third gaps and are electrically connected to the second terminals. A first electrode in the second gap is electrically connected to the first terminal. A memory element in the second gap is positioned over and electrically connected to the first electrode. A second electrode is positioned over and contacts the memory element. The first contact, the first electrode, the memory element and the second electrode are self aligning. A portion of the memory element may have a sub lithographically dimensioned width.
    Type: Grant
    Filed: December 9, 2010
    Date of Patent: February 7, 2012
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7939886
    Abstract: A trench gate power MOSFET (1) includes: an n?-type epitaxial layer (12); a p-type body region (20) formed in the vicinity of an upper surface of the n?-type epitaxial layer (12); a plurality of trenches (14) formed so as to reach the n?-type epitaxial layer (12) from an upper surface of the p-type body region (20); and gates (18) formed in the trenches (14). In some regions facing the p-type body region (20) in the n?-type epitaxial layer (12), p-type carrier extracting regions (26a, 26b, 26c) are formed. According to the trench gate power MOSFET (1), holes generated in a cell region can be effectively collected through the p-type carrier extracting regions (26a, 26b, 26c) so as to further increase a speed of the switching operation.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: May 10, 2011
    Assignee: Shindengen Electric Manufacturing Co., Ltd.
    Inventors: Toshiyuki Takemori, Yuji Watanabe, Fuminori Sasaoka, Kazushige Matsuyama, Kunihito Oshima, Masato Itoi
  • Patent number: 7932140
    Abstract: A semiconductor device includes: a semiconductor substrate; a pair of first diffusion layer regions provided near a top face of the semiconductor substrate; a channel region provided between the first diffusion layer regions of the semiconductor substrate; a gate insulation film provided on the channel region and on the semiconductor substrate such as to overlap with at least part of the first diffusion layer regions; a gate electrode provided on the insulation film; a pair of silicon selective growth layers provided on the semiconductor substrate at both sides of the gate electrode, each of the pair of silicon selective growth layers overlapping with at least part of the first diffusion layer regions, and being provided at a distance from the gate electrode; second diffusion layer regions provided in each of the silicon selective growth layers, peak positions of impurity concentration of the second diffusion layer regions being shallower than bottoms of the silicon selective growth layers; and third diffusio
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: April 26, 2011
    Assignee: Elpida Memory, Inc.
    Inventor: Kazutaka Manabe
  • Patent number: 7858155
    Abstract: It is intended to provide a plasma processing method and apparatus capable of increasing the uniformity of amorphyzation processing. A prescribed gas is introduced into a vacuum container 1 from a gas supply apparatus 2 through a gas inlet 11 while being exhausted by a turbomolecular pump 3 as an exhaust apparatus through an exhaust hole 12. The pressure in the vacuum container 1 is kept at a prescribed value by a pressure regulating valve 4. High-frequency electric power of 13.56 MHz is supplied from a high-frequency power source 5 to a coil 8 disposed close to a dielectric window 7 which is opposed to a sample electrode 6, whereby induction-coupled plasma is generated in the vacuum container 1. A high-frequency power source 10 for supplying high-frequency electric power to the sample electrode 6 is provided and functions as a voltage source for controlling the potential of the sample electrode 6.
    Type: Grant
    Filed: October 27, 2005
    Date of Patent: December 28, 2010
    Assignee: Panasonic Corporation
    Inventors: Tomohiro Okumura, Yuichiro Sasaki, Katsumi Okashita, Cheng-Guo Jin, Satoshi Maeshima, Hiroyuki Ito, Ichiro Nakayama, Bunji Mizuno
  • Patent number: 7795156
    Abstract: Disclosed is a producing method of a semiconductor device comprising a step of forming a tunnel insulating film of a flash device comprising a first nitridation step of forming a first silicon oxynitride film by nitriding a silicon oxide film formed on a semiconductor silicon base by one of plasma nitridation and thermal nitridation, the plasma nitridation carrying out nitridation process by using a gas activated by plasma discharging a first gas including a first compound which has at least a nitrogen atom in a chemical formula thereof, and the thermal nitridation carrying out nitridation process using heat by using a second gas including a second compound which has at least a nitrogen atom in a chemical formula thereof, and a second nitridation step of forming a second silicon oxynitride film by nitriding the first silicon oxynitride film by the other of the plasma nitridation and the thermal nitridation.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: September 14, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tadashi Terasaki, Akito Hirano, Masanori Nakayama, Unryu Ogawa
  • Patent number: 7645652
    Abstract: A CMOS image sensor and a method of fabricating the same are provided. The CMOS image sensor includes: a semiconductor substrate of a first conductivity type having a photodiode region and a transistor region defined therein; a gate electrode formed above the transistor region of the semiconductor substrate with a gate insulating layer interposed therebetween; a first impurity region formed of the first conductivity type in the semiconductor substrate below the gate electrode and having a higher concentration of first conductivity type ions than the semiconductor substrate; and a second impurity region formed of a second conductivity type in the photodiode region of the semiconductor substrate.
    Type: Grant
    Filed: August 21, 2006
    Date of Patent: January 12, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Lim Keun Hyuk
  • Publication number: 20080179637
    Abstract: A unit cell of a metal-semiconductor field-effect transistor (MESFET) includes a semi-insulating substrate having a surface, an implanted n-type channel region in the substrate, and implanted source and drain regions extending from the surface of the substrate into the implanted channel region. A gate contact is between the source and the drain regions, and an implanted p-type region is beneath the source region. The implanted p-type region has an end that extends towards the drain region, is spaced apart vertically from the implanted channel layer, and is electrically coupled to the source region. Methods of forming transistors including implanted channels and implanted p-type regions beneath the source region are also disclosed.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Inventors: Jason P. Henning, Allan Ward, Alexander Suvorov
  • Publication number: 20070272981
    Abstract: A complementary metal-oxide semiconductor (CMOS) image sensor includes a photodiode formed in a substrate structure, first to fourth gate electrodes formed over the substrate structure, spacers formed on both sidewalls of the first to fourth gate electrodes and filled between the third and fourth gate electrodes, a first ion implantation region formed in a portion of the substrate structure below the spacers filled between the third and fourth gate electrodes, and second ion implantation regions formed in portions of the substrate structure exposed between the spacers, the second ion implantation regions having a higher concentration than the first ion implantation region.
    Type: Application
    Filed: April 4, 2007
    Publication date: November 29, 2007
    Inventor: Man-Lyun Ha
  • Patent number: 7301221
    Abstract: A method and device for reducing a dopant diffusion rate in a doped semiconductor region is provided. The methods and devices include selecting a plurality of impurity elements, including at least one dopant element. Selection of a plurality of impurity elements includes selecting a first impurity element with a first atomic radius larger than an average host matrix atomic radius and selecting a second impurity element with a second atomic radius smaller than an average host matrix atomic radius. The methods and devices further include selecting amounts of each impurity element of the plurality of impurity elements wherein amounts and atomic radii of each of the plurality of impurity elements complement each other to reduce a host matrix lattice strain.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 27, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Jerome M. Eldridge
  • Patent number: 7297617
    Abstract: A method and device for reducing a dopant diffusion rate in a doped semiconductor region is provided. The methods and devices include selecting a plurality of impurity elements, including at least one dopant element. Selection of a plurality of impurity elements includes selecting a first impurity element with a first atomic radius larger than an average host matrix atomic radius and selecting a second impurity element with a second atomic radius smaller than an average host matrix atomic radius. The methods and devices further include selecting amounts of each impurity element of the plurality of impurity elements wherein amounts and atomic radii of each of the plurality of impurity elements complement each other to reduce a host matrix lattice strain.
    Type: Grant
    Filed: April 22, 2003
    Date of Patent: November 20, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Farrar, Jerome M. Eldridge
  • Patent number: 7285449
    Abstract: A gate electrode made of semiconductor is formed on the partial surface area of a semiconductor substrate. A mask member is formed on the surface of the semiconductor substrate in an area adjacent to the gate electrode. Impurities are implanted into the gate electrode. After impurities are implanted, the mask member is removed. Source and drain regions are formed by implanting impurities into the surface layer of the semiconductor substrate on both sides of the gate electrode. It is possible to reduce variations of cross sectional shape of gate electrodes and set an impurity concentration of the gate electrode independently from an impurity concentration of the source and drain regions.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: October 23, 2007
    Assignee: Fujitsu Limited
    Inventors: Yasuhiro Sambonsugi, Hikaru Kokura
  • Publication number: 20070218609
    Abstract: A gate electrode is formed on a first conductivity type substrate. A second conductivity type implantation region is formed in the first conductivity type substrate. A first conductivity type implantation region is formed by implanting the first conductivity type impurities into the first conductivity type substrate to a depth deeper than the second conductivity type implantation region. An ISSG oxide film whose thickness ranges from 60 nm to 100 nm is formed to cover the first conductivity type substrate and the gate electrode. A silicone nitride film is formed on the ISSG oxide film. A second silicone oxide film is formed on the silicon nitride film. A sidewall is formed to cover the gate electrode and the first conductivity type substrate. A source/drain diffusion layer is formed by implanting second conductivity type impurities into the first conductivity type substrate.
    Type: Application
    Filed: February 14, 2007
    Publication date: September 20, 2007
    Applicant: OKI ELECTRIC INDUSTRY CO., LTD.
    Inventor: Tomohiko Tatsumi
  • Patent number: 7238577
    Abstract: A method is provided for obtaining extremely fine pitch N-type and P-type stripes that form the voltage blocking region of a superjunction power device. The stripes are self-aligned and do not suffer from alignment tolerances. The self-aligned, fine pitch of the alternating stripes enables improvements in on-state resistance, while ensuring that the superjunction device is fully manufacturable. Only one masking step is required to fabricate the alternating N-type and P-type stripes.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: July 3, 2007
    Assignee: National Semiconductor Corporation
    Inventor: Zia Alan Shafi
  • Patent number: 7208377
    Abstract: A method for forming, by thermal oxidation, a silicon oxide layer on an integrated circuit including three-dimensional silicon patterns, includes implanting a first element according to a first angle with respect to a horizontal direction. The first element is electrically neutral and has a first effect on the growth rate of a thermal oxide on silicon. A second element is implanted according to a second angle with respect to the horizontal direction. The second element is electrically neutral and has a second effect complementary to the first effect on the growth rate of a thermal oxide on silicon. The second angle is distinct from the first angle, and one of the first and second angles is a right angled. The silicon is thermally oxidized.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: April 24, 2007
    Assignee: STMicroelectronics, S.A.
    Inventor: Damien Lenoble
  • Patent number: 7148158
    Abstract: A semiconductor device includes a semiconductor device comprising a semiconductor substrate, source/drain regions formed in the semiconductor substrate, a gate insulation film formed on the semiconductor substrate, a gate electrode formed on the gate insulation film between the source/drain regions, and a gate sidewall spacer formed on side surfaces of the gate electrode, wherein the gate sidewall spacer is composed of silicon oxide containing 0.1–30 atomic % of chlorine.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: December 12, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshio Ozawa, Masayuki Tanaka, Kiyotaka Miyano, Shigehiko Saida
  • Patent number: 7064017
    Abstract: A method of forming a CMOS transistor on a substrate is provided, wherein the method requires only two implanting procedures to form all source/drain and light doped region. First, the source/drain of an NMOS transistor is formed by using a photoresist layer which covers up the source/drain of a PMOS transistor as a mask with a phosphorus dopant being implanted into. Next, the lightly doped region of an NMOS transistor and the source/drain of a PMOS transistor are formed by using a photoresist layer which covers up the source/drain of an NMOS transistor as well as the gate as masks with a boron dopant being implanted into. Of which, the dosage of the boron dopant is smaller than that of the phosphorus dopant.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: June 20, 2006
    Assignee: AU Optronics Corp.
    Inventors: Kun-Hong Chen, Ming-Yan Chen
  • Patent number: 7063991
    Abstract: Disclosed herein are various methods of determining characteristics of doped regions on device wafers, and a system for accomplishing same. In one illustrative embodiment, the method includes providing a device substrate comprising a plurality of masked areas, a plurality of unmasked areas, and at least one doped region formed in the substrate, determining a ratio between the unmasked areas and the masked areas for the device substrate, illuminating an area of the device substrate comprising the masked areas, the unmasked areas, and at least one doped region, and measuring an induced surface photovoltage of the device substrate while accounting for the ratio of the unmasked areas and the masked areas of the device substrate.
    Type: Grant
    Filed: July 28, 2004
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Zhiyong Zhao, Christian Krueger
  • Patent number: 6967129
    Abstract: This invention provides a semiconductor device having high operation performance and high reliability. An LDD region 707 overlapping with a gate wiring is arranged in an n-channel TFT 802 forming a driving circuit, and a TFT structure highly resistant to hot carrier injection is achieved. LDD regions 717, 718, 719 and 720 not overlapping with a gate wiring are arranged in an n-channel TFT 804 forming a pixel unit. As a result, a TFT structure having a small OFF current value is achieved. In this instance, an element belonging to the Group 15 of the Periodic Table exists in a higher concentration in the LDD region 707 than in the LDD regions 717, 718, 719 and 720.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: November 22, 2005
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Murakami, Jun Koyama, Yukio Tanaka, Hidehito Kitakado, Hideto Ohnuma
  • Patent number: 6924180
    Abstract: A process for forming a MOSFET device featuring a pocket region placed adjacent to only a top portion of the sides of a heavily doped source/drain region, has been developed. The process features forming a heavily doped source/drain region in an area of a semiconductor substrate not covered by the gate structure or by composite insulator spacers located on the sides of the gate structure. Selective removal of an overlying insulator component of the composite insulator spacer allows a subsequent pocket implant region to be formed in an area of the semiconductor substrate directly underlying a horizontal portion of a remaining L shaped insulator spacer component. The location of the pocket region, formed butting only the top portions of the sides of the heavily doped source/drain region, reduces the risk of punch through current while limiting the impact of junction capacitance.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: August 2, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventor: Elgin Quek
  • Patent number: 6881616
    Abstract: A method for fabricating sidewall spacers in the manufacture of an integrated circuit device is disclosed. A dielectric spacer layer is formed over the semiconductor substrate. The dielectric spacer layer is etched prior to forming a layer subsequent to the dielectric layer, to form an L-shaped spacer. In another embodiment, a structure is formed on a substrate, the structure having a sidewall portion that is substantially orthogonal to a surface of the substrate. A dielectric layer is formed over the substrate. A spacer is formed over a portion of the dielectric layer and adjacent to the sidewall portion of the structure, wherein at least a portion of the dielectric layer over the substrate without an overlying oxide spacer is an unprotected portion of the dielectric. At least a part of the unprotected portion of the dielectric layer is removed. An intermediate source-drain region can be formed beneath a portion of the L-shaped spacer by controlling the thickness and/or the source drain doping levels.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: April 19, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kay Hellig, Douglas J. Bonser, Wen-Jie Qi
  • Patent number: 6821830
    Abstract: A hard mask 21a which has an opening for exposing a p-type region 2 defined in a silicon substrate 1 and is made of, for example, a BPSG film is formed. Then, the hard mask 21a is subjected to isotropic etching using argon gas, to have its edge rounded off, thereby forming an implantation hard mask 21 having a tapered edge. Subsequently, large-angle-tilt ion implantation of an n-type impurity is performed using the implantation hard mask 21 as a mask, thereby forming an n− layer 13 having an LDD structure. Thereafter, the implantation hard mask 11 is removed. In this manner, it is possible to perform large-angle-tilt ion implantation using an implantation mask thinner than a conventional implantation mask.
    Type: Grant
    Filed: August 26, 2003
    Date of Patent: November 23, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takato Handa, Hiroyuki Umimoto
  • Patent number: 6808966
    Abstract: This invention provides a semiconductor device having high operation performance and high reliability. An LDD region 707 overlapping with a gate wiring is arranged in an n-channel TFT 802 forming a driving circuit, and a TFT structure highly resistant to hot carrier injection is achieved. LDD regions 717, 718, 719 and 720 not overlapping with a gate wiring are arranged in an n-channel TFT 804 forming a pixel unit. As a result, a TFT structure having a small OFF current value is achieved. In this instance, an element belonging to the Group 15 of the Periodic Table exists in a higher concentration in the LDD region 707 than in the LDD regions 717, 718, 719 and 720.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: October 26, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Murakami, Jun Koyama, Yukio Tanaka, Hidehito Kitakado, Hideto Ohnuma
  • Patent number: 6777280
    Abstract: Dynamic Random Access Memory (DRAM) cells are formed in a P well formed in a biased deep N well (DNW). PMOS transistors are formed in N wells. The NMOS channels stop implant mask is modified not to be a reverse of the N well mask in order to block the channels stop implant from an N+ contact region used for DNW biasing. In DRAMs and other integrated circuits, a minimal spacing requirement between a well of an integrated circuit on the one hand and adjacent circuitry on the other hand is eliminated by laying out the adjacent circuitry so that the well is located adjacent to a transistor having an electrode connected to the same voltage as the voltage that biases the well. For example, in DRAMs, the minimal spacing requirement between the DNW and the read/write circuitry is eliminated by locating the DNW next to a transistor precharging the bit lines before memory accesses. One electrode of the transistor is connected to a precharge voltage.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: August 17, 2004
    Assignee: Mosel Vitelic, Inc.
    Inventors: Li-Chun Li, Huoy-Jong Wu, Chung-Cheng Wu, Saysamone Pittikoun, Wen-Wei Lo
  • Publication number: 20040048422
    Abstract: The invention relates to a TFT device, a method of manufacturing the same, and a TFT substrate and a display having the same and provides a TFT device having good characteristics and high reliability, a method of manufacturing the same, and a TFT substrate and a display having the same. A metal thin film is formed on a gate insulation film. Patterning is performed to remove the metal thin film on a semiconductor layer to become source and drain regions of an n-type TFT. Phosphorous ions are implanted using the patterned metal thin film as a mask to form the source and drain regions. The patterned metal thin film is further patterned to form a gate electrode of the n-type TFT. Phosphorous ions are implanted using the gate electrode as a mask to form LDD regions between the source and drain regions and a channel region.
    Type: Application
    Filed: July 2, 2003
    Publication date: March 11, 2004
    Applicant: FUJITSU DISPLAY TECHNOLOGIES CORPORATION
    Inventors: Yoshio Kurosawa, Kazushige Hotta
  • Publication number: 20040043548
    Abstract: An etch stop layer (12) is formed over a semiconductor substrate (10). An epitaxial layer (14) is formed overlying the etch stop layer (12). The combination of the epitaxial layer (14), etch stop layer (12), and semiconductor substrate (10) form a composite substrate (16). The composite substrate (16) is processed to fabricate a semiconductor device (21) over the epitaxial layer (14). Then the composite substrate (16) is mounted to a wafer carrier (32) to expose the semiconductor substrate (10) and the semiconductor substrate (10) is removed to substantially define a semiconductor device substrate (50) that comprises the epitaxial layer (14).
    Type: Application
    Filed: August 27, 2002
    Publication date: March 4, 2004
    Inventor: Randy D. Redd
  • Patent number: 6673645
    Abstract: Disclosed is a semiconductor structure and manufacturing process for making an integrated FET and photodetector optical receiver on a semiconductor substrate. A FET is formed by forming at least one p channel in a p-well of the substrate and forming at least one n channel in the p-well of the substrate. A p-i-n photodetector is formed in the substrate by forming at least one p channel in an absorption region of the substrate when forming the at least one p channel in the p well of the FET and forming at least one n channel in the absorption region of the substrate when forming the at least one n channel in the p-well of the FET.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: January 6, 2004
    Assignee: International Business Machines Corporation
    Inventors: Randolph B. Heineke, William K. Hogan, Scott Allen Olson, Clint Lee Schow
  • Patent number: 6613621
    Abstract: Self-aligned contacts in integrated circuits can be formed on an integrated circuit substrate having an active region. A groove can be formed in the insulating layer and a conductive material can be formed in the groove to a level that is recessed in the groove. An insulating material can be formed in the groove on the conductive material that has an etch selectivity with respect to the insulating layer. A contact that is self-aligned to the active region can be then be formed.
    Type: Grant
    Filed: March 9, 2001
    Date of Patent: September 2, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyung-Soo Uh, Kyu-Hynn Lee, Tae-Young Chung, Ki-Nam Kim, Yoo-Sang Hwang
  • Patent number: 6596554
    Abstract: A silicon-on-oxide MOS transistor is disclosed which has an implanted region on the source side of the gate electrode for making contact with the body node.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: July 22, 2003
    Assignee: Texas Instruments Incorporated
    Inventor: Sreenath Unnikrishnan
  • Patent number: 6570233
    Abstract: The invention provides a technology for reducing the direct contact resistance and for reducing the junction leak while maintaining the punch through margin. A semiconductor integrated circuit device is provided which comprises: a substrate; a transistor formed on the substrate, which comprises a source, a drain and a gate which controls a current flowing from said source to said drain; and a contact plug being electrically connected to at least one of the source and drain and made of a conductive material including a dopant. The contact plug is formed of at least a first layer and a second layer. The first layer contacts with one of the source and drain and is made of said material including the dopant of a first concentration. The second layer is formed of a layer of said material including the dopant of a second concentration, which is lower than the second concentration.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: May 27, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Akira Matsumura
  • Patent number: 6482706
    Abstract: A method of scaling down device dimension using spacer to confine the buried drain implant, applicable for forming memory device such as substrate/oxide/nitride/oxide/silicon (SONOS) stacked device or nitride read only memory (NROM) device. A patterned conductive layer is used as a mask for forming a pocket doped region. A spacer is formed on a side-wall of the conductive layer. As the implantation region is confined by the side-wall, a buried drain region formed by drain implantation is reduced. Therefore, the effective channel length is not reduced due to the diffusion of the buried drain region. It is thus advantageous to scale down device dimension.
    Type: Grant
    Filed: December 10, 2001
    Date of Patent: November 19, 2002
    Assignee: Macronix International Co., Ltd.
    Inventors: Yen-hung Yeh, Tso-Hung Fan, Mu Yi Liu, Kwang Yang Chan, Tao-Cheng Lu
  • Patent number: 6426247
    Abstract: A method for forming a memory device having low bitline capacitance, comprising: providing a gate conductor stack structure on a silicon substrate, said gate stack structure having a gate oxide layer, a polysilicon layer, a silicide layer, and a top dielectric nitride layer; oxidizing sidewalls of said gate oxide stack; forming sidewall spacers on the sidewalls of said gate conductor stack, said sidewall spacers comprising a thin layer of nitride having a thickness ranging from about 50 to about 250 angstroms; overlaying the gate structure with a thin nitride liner having a thickness ranging from about 25 to about 150 angstroms; depositing an insulative oxide layer over the gate structure; polishing the insulative oxide layer down to the level of the nitride liner of the gate structure; patterning and etching the insulative oxide layer to expose said nitride liner; forming second sidewall spacers over said first sidewall spacers, said second sidewall spacers comprising an oxide layer having a thickness rangin
    Type: Grant
    Filed: January 17, 2001
    Date of Patent: July 30, 2002
    Assignees: International Business Machines Corporation, Infineon Technologies North American Corp.
    Inventors: Ramachandra Divakaruni, Jeffrey P. Gambino, Jack A. Mandelman, Rajesh Rengarajan
  • Patent number: 6406956
    Abstract: A layer of gate oxide and polysilicon are deposited over the surface of a substrate, these layers are etched to create a dummy gate and a resistor. Spacers are formed on the dummy gate and the resistor, suitable impurities are implanted self-aligned with the dummy gate. A layer of dielectric is deposited and polished down to the surface of the dummy gate and the polysilicon resistor, the dummy gate is removed creating an opening in the layer of dielectric. A high-k dielectric is deposited over which a layer of metal is deposited, the surface of the layer of metal and high-k dielectric are polished down to the surface of the layer of dielectric leaving in place a metal gate electrode and a polysilicon resistor.
    Type: Grant
    Filed: April 30, 2001
    Date of Patent: June 18, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Hsing Tsai, Chii-Ming Wu
  • Patent number: 6362117
    Abstract: An integrated circuit (10, 60, 110, 210) is fabricated according to a method which includes the steps of providing a structure (12, 112, 212) having a top surface (13, 113, 213), and forming spaced first and second sections (16-18, 67-69, 72-73, 126-127, 231-232) on the top surface. The first and second sections have side surfaces (21-26, 81-88, 131-134, 241-244) thereon. A respective sidewall (31-36, 91-98, 141-144, 251-254) with a sublithographic thickness is formed on each side surface. Then, a further section (42A-42D, 101A-101D, 152, 268) is formed in the region between the sidewalls on the first and second sections, for example by introducing a selected material between those sidewalls, and by then removing any portion of the selected material which is higher than the upper ends of the sidewalls.
    Type: Grant
    Filed: January 26, 2000
    Date of Patent: March 26, 2002
    Assignee: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Publication number: 20020011629
    Abstract: A method of forming shallow junction MOSFETs is achieved. A gate oxide layer is formed overlying a substrate. A first electrode layer, of polysilicon or metal, is deposited. A silicon nitride layer is deposited. The silicon nitride layer and the first electrode layer are etched through to form temporary MOSFET gates. Ions are implanted into the substrate to form lightly doped junctions. A spacer layer is deposited. The spacer layer and the gate oxide layer are anisotropically etched to form sidewall spacers. Ions are implanted into the substrate to form heavily doped junctions. The silicon nitride layer is etched away. A second electrode layer, of polysilicon or metal, is deposited overlying the substrate, the sidewall spacers, and the first polysilicon layer. The second electrode layer is polished down to the top surfaces of the sidewall spacers to complete the MOSFETs and to form permanent gates and conductive connections to the source and drain junctions.
    Type: Application
    Filed: August 31, 2001
    Publication date: January 31, 2002
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
    Inventors: Lap Chan, Cher Liang Cha, Ravishankar Sundaresan
  • Publication number: 20020009859
    Abstract: Disclosed is a method for making an SOI MOSFET, which is capable of improving threshold voltage variations and a parasitic bipolar effect generated in the formation of fully depleted (FD) SOI semiconductor integrated circuits using a recess channel. The method involves the steps of forming a buried oxide film and an active silicon film over a silicon-on-insulator substrate, forming a channel at a recess channel, forming dummy spacers at opposite side walls of the etched active silicon film, forming a gate between the dummy spacers, forming a photoresist film on the gate and the active silicon film, forming lightly doped drain regions, removing the dummy spacers, forming lightly doped ion regions, respectively, forming spacers at opposite side walls of the recess channel region, respectively, removing the photoresist film, forming a source region and a drain region, forming source/drain electrodes and a gate electrode on the resultant structure.
    Type: Application
    Filed: June 26, 2001
    Publication date: January 24, 2002
    Applicant: Hynix Semiconductor Inc.
    Inventor: Jeong Hee Oh
  • Patent number: 6300171
    Abstract: Method of manufacturing an edge structure for a high voltage semiconductor device, including a first step of forming a first semiconductor layer of a first conductivity type, a second step of forming a first mask over the top surface of the first semiconductor layer, a third step of removing portions of the first mask in order to form at least one opening in it, a fourth step of introducing dopant of a second conductivity type in the first semiconductor layer through the at least one opening, a fifth step of completely removing the first mask and of forming a second semiconductor layer of the first conductivity type over the first semiconductor layer, a sixth step of diffusing the dopant implanted in the first semiconductor layer in order to form a doped region of the second conductivity type in the first and second semiconductor layers.
    Type: Grant
    Filed: December 7, 1999
    Date of Patent: October 9, 2001
    Assignee: STMicroelectronics S.r.l.
    Inventor: Ferruccio Frisina
  • Publication number: 20010014495
    Abstract: A method for making a ULSI MOSFET chip includes forming a sacrificial gate on a substrate along with activated source and drain regions, but without initially establishing a doped channel region. The polysilicon portion of the sacrificial gate is then removed and a neutral ion species such as Silicon or Germanium is implanted between the source and drain regions in the region that is to become the doped channel region. A dopant substance is next implanted into the channel region, which is then exposed to ultra-rapid thermal annealing to cause the dopant to form a box-like, super-steep retrograded channel profile. The gate is then re-formed over the now activated doped channel region.
    Type: Application
    Filed: January 17, 2001
    Publication date: August 16, 2001
    Inventor: Bin Yu
  • Patent number: 6251711
    Abstract: The proposed invention is a salicide process that is used to avoid bridge phenomena.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: June 26, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Edberg Fang, Wen-Yi Hsieh, Teng-Chun Tsai
  • Patent number: 6214654
    Abstract: A method for making a ULSI MOSFET chip includes forming a sacrificial gate on a substrate along with activated source and drain regions, but without initially establishing a doped channel region. The polysilicon portion of the sacrificial gate is then removed and a neutral ion species such as Silicon or Germanium is implanted between the source and drain regions in the region that is to become the doped channel region. A dopant substance is next implanted into the channel region, which is then exposed to ultra-rapid thermal annealing to cause the dopant to form a box-like, super-steep retrograded channel profile. The gate is then re-formed over the now activated doped channel region.
    Type: Grant
    Filed: January 27, 1999
    Date of Patent: April 10, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6153454
    Abstract: In manufacturing a transistor, a doping mask is formed above a substrate. The doping mask is constructed, so that a first region of the substrate for serving as a source in the transistor and a second region of the substrate for serving as a drain in the transistor are substantially shielded. Once the doping mask is formed, ions are introduced into a region in the substrate that is to underlie the transistor's gate structure. The ions are introduced to establish the characteristics of the transistor, such as the transistor's threshold voltage and punch-through breakdown voltage. After the ions are introduced, a gate oxide is formed to overlie a portion of the substrate. The gate structure for the transistor is then formed to substantially overlie the region of the substrate in which the ions have been introduced. Once a gate is formed for the gate structure, a source and drain are formed in the substrate.
    Type: Grant
    Filed: July 9, 1997
    Date of Patent: November 28, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Zoran Krivokapic