Doping Of Semiconductor Channel Region Beneath Gate Insulator (e.g., Threshold Voltage Adjustment, Etc.) Patents (Class 438/217)
  • Patent number: 6468852
    Abstract: Embodiments of the present invention provide methods of forming field effect transistors (FETs) that include at least partially forming a patterned transistor gate stack, at least partially defining a channel region therebeneath, and at least partially forming source/drain regions operably adjacent the gate stack and the channel region. Such embodiments include conducting one or more ion implantations through the at least partially formed gate stack and the at least partially formed source/drain regions to appropriately form Vt adjust regions within the channel regions and minority carrier barrier regions below formed, or to be formed, source/drain regions. Some embodiments of the present invention encompass forming such regions for memory FETs employed in DRAM or other memory circuitry.
    Type: Grant
    Filed: August 3, 2001
    Date of Patent: October 22, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Chandra Mouli
  • Patent number: 6465294
    Abstract: A process for fabricating an RF type, MOSFET device, concentrating on reducing performance degrading gate resistance, has been developed. The process features formation of a stacked gate structure, comprised of a metal gate contact structure located directly overlying a portion of an underlying polysilicon gate structure, in a region in which the polysilicon gate structure is located on an active device region of a semiconductor substrate. Subsequent formation of an overlying metal interconnect structure, results in reduced gate resistance due to the direct vertical conductive path from the metal interconnect structure to the polysilicon gate structure, through the metal gate contact structure. A novel process sequence, requiring no photolithographic processing, is used to self-align the metal gate contact structure to the underlying polysilicon gate structure.
    Type: Grant
    Filed: March 16, 2001
    Date of Patent: October 15, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chaochieh Tsai, Chung-Long Chang, Ju-Yu Chang, Shyh-Chyi Wong
  • Patent number: 6461907
    Abstract: A method for forming a semiconductor device that has a fully depleted MOSFET and a partially depleted MOSFET having excellent characteristics on the same substrate without effecting control by means of the impurity concentration of the channel region. A semiconductor device is provided with a fully-depleted SOI MOSFET and a partially-depleted SOI MOSFET on the same SOI substrate through isolation by an element isolation film. The SOI substrate includes a buried oxide film and a SOI layer provided in succession on a silicon substrate.
    Type: Grant
    Filed: February 14, 2001
    Date of Patent: October 8, 2002
    Assignee: NEC Corporation
    Inventor: Kiyotaka Imai
  • Patent number: 6461796
    Abstract: Disclosed is a method of manufacturing a semiconductor device includes the steps of: forming a first resist mask having an opening portion of a specific pattern on a semiconductor substrate, the opening portion having a side wall formed with a taper portion; forming a water-soluble resist film on the first resist mask in such a manner as to cover at least the taper portion, the water-soluble resist film being made water-insoluble when it reacts with acid; allowing the water-soluble resist film to react with acid, to form a water-insoluble portion on the taper portion; removing the water-soluble resist film while leaving the water-insoluble portion, to form a second resist mask composed of the water-insoluble portion formed on the taper portion and the first resist mask; and implanting an impurity in the semiconductor substrate via the second resist mask, to form an impurity region in the semiconductor substrate.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: October 8, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsuya Kunikiyo
  • Patent number: 6455402
    Abstract: The method of fabricating a semiconductor device includes the steps of selectively forming an insulating oxide layer in a semiconductor substrate having a first conductivity type, wherein the semiconductor substrate has first and second regions; forming impurity layers having a second conductivity type in the first and second regions of the semiconductor substrate; forming a first mask layer in the second region of the semiconductor substrate; forming impurity layers having the second conductivity type in the first region of the semiconductor substrate by performing serial ion implantations with different doses of dopants at different acceleration energies; forming a second mask layer in the first region of the semiconductor substrate; and forming impurity layers having the first conductivity type in the second region of the semiconductor substrate by performing serial ion implantations with different doses of dopants at different acceleration energies.
    Type: Grant
    Filed: March 20, 2001
    Date of Patent: September 24, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Joo-Hyong Lee, Jeong-Hwan Son
  • Patent number: 6452232
    Abstract: A semiconductor device with a SOI structure comprises; a SOI substrate having a buried insulating film and a first conductivity type surface semiconductor layer on the buried insulating film; second conductivity type source and drain regions formed in the surface semiconductor layer; and a gate electrode formed over a first conductivity type channel region between the source and drain regions via a gate insulating film, wherein the source and drain regions are thinner than the surface semiconductor layer, and the channel region in the surface semiconductor layer has a first conductivity type high-concentration impurity diffusion region whose first conductivity type impurity concentration is higher than that in a surface of the channel region and which is adjacent to the buried insulating film.
    Type: Grant
    Filed: December 1, 1999
    Date of Patent: September 17, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Alberto Oscar Adan
  • Patent number: 6448590
    Abstract: A shorter gate length FET for very large scale integrated circuit chips is achieved by providing a wafer with multiple threshold voltages. Multiple threshold voltages are developed by combining multiple work function gate materials. The gate materials are geometrically aligned in a predetermined pattern so that each gate material is adjacent to other gate materials. A patterned linear array embodiment is developed for a multiple threshold voltage design. The method of forming a multiple threshold voltage FET requires disposing different gate materials in aligned trenches within a semiconductor wafer, wherein each gate material represents a separate work function. The gate materials are arranged to be in close proximity to one another to accommodate small gate length designs.
    Type: Grant
    Filed: October 24, 2000
    Date of Patent: September 10, 2002
    Assignee: International Business Machines Corporation
    Inventors: James W. Adkisson, Arne W. Ballantine, Ramachandra Divakaruni, Jeffrey B. Johnson, Erin C. Jones, Hon-Sum P. Wong
  • Publication number: 20020123181
    Abstract: A plurality of gate electrodes is formed on a semiconductor substrate having a DRAM area and a logic area. Next, sidewalls, each of which includes a silicon nitride film covering the sides of gate electrodes and a silicon oxide film covering the silicon nitride film, are formed on the sides of the gate electrodes respectively. After formation of a transistor having an LDD structure in the logic area, the silicon oxide film formed on the sides of the gate electrodes is removed by wet etching. Next, a silicon nitride film is formed on the whole surface of the semiconductor substrate, and an interlayer dielectric is formed on the silicon nitride film.
    Type: Application
    Filed: September 25, 2001
    Publication date: September 5, 2002
    Applicant: MITSUBISHI DENKI KABUSHIKI KAISHA
    Inventor: Atsushi Hachisuka
  • Publication number: 20020123180
    Abstract: In one embodiment of the present invention, a method of forming semiconductor transistors includes: forming a gate electrode over but insulated from a semiconductor body region; forming off-set spacers along side-walls of the gate electrode; and after forming said off-set spacers, forming a source region and a drain region in the body region so that the extent of an overlap between the gate electrode and each of the source and drain regions is dependent on a thickness of the off-set spacers.
    Type: Application
    Filed: March 1, 2001
    Publication date: September 5, 2002
    Inventors: Peter Rabkin, Hsingya Arthur Wang, Kai-Cheng Chou
  • Publication number: 20020110974
    Abstract: A method for forming edge-defined structures with sub-lithographic dimensions which are used to further form conduction channels and/or storage structures in memory cells. Sacrificial silicon nitride islands are deposited at low temperatures and then patterned and etched by high resolution etching techniques. Polysilicon is next deposited over the sacrificial silicon nitride islands and directionally etched to form edge-defined polysilicon dot and strip structures which are about one tenth the minimum feature size. The edge-defined polysilicon strips and dots are formed between the source and drain region of an NMOS device. Subsequent to the removal of the sacrificial silicon nitride islands, the edge-defined polysilicon strips and dots are used to mask a threshold voltage implantation in a conventional CMOS process. A conduction channel and two adjacent potential minimum dots are formed after the removal of the edge-defined polysilicon strips and dots.
    Type: Application
    Filed: March 11, 2002
    Publication date: August 15, 2002
    Inventors: Leonard Forbes, Kie Y. Ahn
  • Patent number: 6432761
    Abstract: A split-gate p-channel memory cell of an EEPROM, and method of fabricating the cell, are provided. The memory cell includes a memory transistor and select transistor that share a common gate. It further includes two independent and distinct threshold voltage adjusts implanted in different portions of a channel region of a substrate of the memory cell. One of the threshold voltage adjusts is disposed in relation to the memory transistor so as to influence its threshold voltage. The other threshold voltage adjust is disposed in relation to the selected transistor so as to influence its threshold voltage. In the method of fabrication, an n-type of dopant is implanted into the substrate to form the threshold voltage adjust associated with the memory transistor and a p-type of dopant is implanted into the substrate to form the threshold voltage adjust associated with the select transistor.
    Type: Grant
    Filed: October 1, 1999
    Date of Patent: August 13, 2002
    Assignee: Microchip Technology Incorporated
    Inventors: Don Gerber, Jeff Shields, David Suda
  • Patent number: 6432763
    Abstract: For fabricating a field effect transistor on a semiconductor substrate, a gate dielectric of the field effect transistor is formed on a semiconductor substrate. A doped gate electrode, which may be comprised of silicon germanium (SiGe) for example, is formed on the gate dielectric. An amorphous semiconductor structure, which may be comprised of amorphous silicon for example, is formed on the doped gate electrode. A hardmask structure comprised of a hardmask dielectric material is formed on the amorphous semiconductor structure. The gate dielectric, the doped gate electrode, the amorphous semiconductor structure, and the hardmask structure form a gate stack. Liner dielectric structures are formed on sidewalls of the gate stack. A dopant is implanted into exposed regions of the semiconductor substrate after forming the liner dielectric structures on the sidewalls of the gate stack.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: August 13, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6432762
    Abstract: A memory cell for devices of the EEPROM type, formed in a portion of a semiconductor material substrate having a first conductivity type. The memory cell includes source and drain regions having a second conductivity type and extending at the sides of a gate oxide region which includes a thin tunnel oxide region. The memory cell also includes a region of electric continuity having the second conductivity type, being formed laterally and beneath the thin tunnel oxide region, and partly overlapping the drain region, and a channel region extending between the region of electric continuity and the source region. The memory cell further includes an implanted region having the first conductivity type and being formed laterally and beneath the gate oxide region and incorporating the channel region.
    Type: Grant
    Filed: March 23, 2000
    Date of Patent: August 13, 2002
    Assignee: SGS-Thomson Microelectronics
    Inventors: Giovanna Dalla Libera, Bruno Vajana, Roberta Bottini, Carlo Cremonesi
  • Patent number: 6426534
    Abstract: Described are mask-alignment detection structures that measure both the direction and extent of misalignment between layers of an integrated circuit. Each structure includes one or more MOS transistors, each of which exhibits a threshold voltage that varies with misalignment in one dimension. The test structures are configured in mirrored pairs, so that misalignment in one direction oppositely affects the threshold voltages of the paired structures. The threshold voltages of the paired structures can therefore be compared to determine the extent and direction of misalignment. Measurements in accordance with the invention are relatively insensitive to process variations, and the structures using to take these measurements can be formed along with other features on an integrated circuit using standard processes. One embodiment of the invention may be used to measure misalignment between active implants and the windows in which active regions are formed.
    Type: Grant
    Filed: May 1, 2000
    Date of Patent: July 30, 2002
    Assignee: Xilinx, Inc.
    Inventors: Kevin T. Look, Shih-Cheng Hsueh
  • Publication number: 20020098638
    Abstract: A semiconductor integrated circuit device comprises an n-type well 8-1 formed in a p-type silicon substrate 1, an n-type well 8-2 formed so as to surround a part of the substrate 1, in which a p−-type well is formed, a p−-type well 15-1 formed in the substrate 1, a p−-type well 15-2 formed in a part of the substrate 1, which is surrounded by the n-type well, an embedded n-type well 12-1 formed below the p-type well 15-1, and an n-type well 12-2 which is formed below the p−-type well 15-2 and which is connected to the n-type well 8-2. Thus, it is possible to provide a semiconductor integrated circuit device capable of suppressing the increase of the number of photolithography steps and reducing the manufacturing costs.
    Type: Application
    Filed: January 28, 2002
    Publication date: July 25, 2002
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Toshitake Yaegashi, Seiichi Aritome, Yuji Takeuchi, Kazuhiro Shimizu
  • Publication number: 20020081797
    Abstract: Neutral conductivity ions, preferably germanium, are implanted through the oxide of a metal oxide semiconductor after isolation formation to provide a nearly constant threshold voltage for transistor operation independent of transistor channel width as device geometries are scaled down in size. The present invention sets forth a method for fabricating a metal oxide semiconductor (MOS) structure that controls threshold voltage Vt in the structure, the method including generating an isolation region of the semiconductor structure on a major surface of a silicon substrate, growing a thin oxide on the major surface of the semiconductor structure, implanting a large diameter neutral conductivity type ion into the major surface of the semiconductor structure through the thin oxide, annealing the semiconductor structure having the neutral conductivity ion implanted therein, and processing the semiconductor structure to create MOS devices having a near constant threshold voltage over a range of device channel widths.
    Type: Application
    Filed: December 21, 2000
    Publication date: June 27, 2002
    Inventors: Frederick T. Brady, Jon Maimon
  • Patent number: 6410378
    Abstract: The present invention relates to formation of trench isolation structures that isolate active areas and a preferred doping in the fabrication of a CMOS device with a minimized number of masks. P-type dopant are implanted into a semiconductor substrate having therein a P-well and an N-well. Each of the N-well and P-well has therein a trench. The P-type dopant are implanted beneath each of the trenches in the P-well and the N-well to create a first P-type dopant concentration profile in the semiconductor substrate, wherein the P and N wells are substantially unimplanted by the P-type dopant in active areas adjacent to the respective trenches therein. A second implanting P-type dopant is made into the semiconductor substrate. The second implanting is beneath each of the trenches in the P and N wells to form a second P-type dopant concentration profile.
    Type: Grant
    Filed: September 14, 2001
    Date of Patent: June 25, 2002
    Assignee: Micron Technonlogy, Inc.
    Inventor: Fernando Gonzalez
  • Patent number: 6410394
    Abstract: A method for forming a CMOS transistor gate with a self-aligned channel implant. A semiconductor structure having a first active area is provided. A first insulating layer is formed on the semiconductor structure, and a second insulating layer is formed on the first insulating layer. The second insulating layer is patterned using a poly reverse mask and an etch selective to the first insulating layer to form a first channel implant opening, and the poly reverse mask is removed. A first channel implant mask is formed exposing the first channel implant opening. Impurity ions are implanted through the first channel implant opening to form a first threshold adjust region and a first anti-punchthrough region. A gate layer is formed over the semiconductor structure, and the first gate layer is planarized to form a gate electrode. The second insulating layer is removed, and lightly doped source and drain regions, sidewall spacers and source and drain regions can be formed adjacent the gate electrode.
    Type: Grant
    Filed: December 17, 1999
    Date of Patent: June 25, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Kai Shao, Yimin Wang, Jian Xun Li, Shao-Fu Sanford Chu
  • Patent number: 6406955
    Abstract: A CMOS device which includes first and second wells formed in first and second regions of a semiconductor substrate, respectively, first and second transistors formed in the respective wells, a third transistor formed in a third region of the semiconductor substrate outside of the wells, a first impurity layer formed in the vicinity of the depletion region of at least one but not more than two of the first, second, and third regions, and a second impurity layer deeper than the first impurity layer and formed in the region(s) of the substrate in which the first impurity layer is not formed. A method for manufacturing such a CMOS device enables the punch-through voltage characteristics of the first, second, and third transistors to be optimally different, without necessitating any additional, separate mask processing steps.
    Type: Grant
    Filed: May 9, 2000
    Date of Patent: June 18, 2002
    Assignee: Samsung Electronics Co., LTD
    Inventors: Dong-jun Kim, Jeong-hyuk Choi
  • Patent number: 6403422
    Abstract: The semiconductor device is provided with an element isolating region disposed in a matrix to define a channel region on a semiconductor substrate, gate interconnection layers extending in a direction and disposed at predetermined intervals from each other above element isolating region, and aluminum interconnection layers extending in a direction intersecting gate interconnection layers and disposed at predetermined intervals from each other, aluminum interconnection layer being disposed above element isolating region. Thus, it becomes possible to provide a semiconductor device and a method of manufacturing thereof which enable the reduction in time required for the final manufacturing steps of the semiconductor device after the ROM specifications are determined.
    Type: Grant
    Filed: November 23, 1998
    Date of Patent: June 11, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hidenori Arita, Kazuaki Miyata
  • Patent number: 6391752
    Abstract: A method of fabricating a SOI semiconductor device with an implanted ground plane in the silicon substrate to increase the doping concentration underneath the channel region for suppressing short-channel effects (SCEs) such as drain-induced barrier lowering (DIBL). For a N-channel MOSFET, the implanted ground plane is P+ type such that if a P-type underlying substrate is used, the ground plane is automatically connected to ground potential (the substrate potential). For a SOI-type CMOS semiconductor device with two spaced-apart implanted ground planes each self-aligned to be underneath a corresponding channel region of the CMOS, two SOI-type MOSFET semiconductor devices of opposite conductivity types are formed on a same semiconductor substrate.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: May 21, 2002
    Assignee: Taiwan Semiconductor Manufacturing, Co., Ltd.
    Inventors: Jean Pierre Colinge, Carlos H. Diaz
  • Patent number: 6380013
    Abstract: A method for fabricating a semiconductor device, and, more particularly, a method for fabricating a transistor using an epitaxial channel and a laser thermal treatment is disclosed. The method for forming a semiconductor device includes the steps of: forming a delta doping layer having impurity ions on a semiconductor substrate with a low energy ion-implantation; activating the impurity ions within the delta doping layer by thermally treating a surface of the semiconductor substrate with a laser; forming a channel epitaxial layer on the semiconductor substrate; forming a gate insulation layer and a gate electrode on the channel epitaxial layer in this order; and forming a source/drain region in the semiconductor substrate. Improved current drivability of the semiconductor device is achieved by an increase in the ion activity to adjust the threshold voltage. The delta doping effect through the low energy ion-implantation is maximized.
    Type: Grant
    Filed: June 22, 2001
    Date of Patent: April 30, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Jung-Ho Lee
  • Patent number: 6376295
    Abstract: There is disclosed a memory cell which has a diffusion layers constituting source/drain areas formed on a p-type silicon substrate surface, and a channel area formed between the diffusion layers. Above the channel area, an insulating film of a laminated structure is formed of a silicon oxide film, a silicon nitride film and a silicon oxide film. A gate electrode is formed on the upper surface of the insulating film of the laminated structure. The gate electrode is used as a word line. Moreover, an interlayer insulating film is formed between the diffusion layer and the gate electrode. By injecting hot electrons from the substrate to the silicon nitride film in the insulating film of the laminated structure, data is written. The silicon nitride film and the diffusion layer are partially overlapped in a vertical direction, and an offset portion is disposed between the silicon nitride film and the diffusion layer.
    Type: Grant
    Filed: August 3, 2000
    Date of Patent: April 23, 2002
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kiyomi Naruke, Minoru Kurata, Yuuichi Tatsumi, Yasumasa Sawada
  • Patent number: 6368907
    Abstract: After forming a control gate electrode, an interelectrode insulating film and a floating gate electrode of a nonvolatile memory device in a memory region with allowing a conducting film to remain in a logic region, an insulating film for implant protection is formed on a substrate, and ion implantation is carried out to form a source/drain diffusion layer of the nonvolatile memory device. Then, after removing the insulating film for implant protection, the conducting film is patterned into a gate electrode of a logic device. Thereafter, impurity ion implantation is carried out with the gate electrode used as a mask so as to form an LDD diffusion layer of the logic device. At this point, since the insulating film for implant protection has already been removed from the logic region, a shallow PN junction can be formed, resulting in realizing a logic device suitable to refinement.
    Type: Grant
    Filed: September 13, 2000
    Date of Patent: April 9, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroyuki Doi, Takao Yamaguchi
  • Publication number: 20020039817
    Abstract: Methods of forming field effect transistors and related field effect transistor constructions are described. A masking layer is formed over a semiconductive substrate and an opening having sidewalls is formed therethrough. The opening defines a substrate area over which a field effect transistor gate is to be formed. A dopant of a first conductivity type is provided through the opening and into the substrate. Sidewall spacers are formed over respective sidewalls of the opening. Enhancement dopant of a second conductivity type which is different from the first conductivity type is provided through the opening and into the substrate. A transistor gate is formed within the opening proximate the sidewall spacers, and source/drain regions of the second conductivity type are diffused into the substrate operably proximate the transistor gate. The first conductivity type dopant forms a halo region proximate the source/drain regions and lightly doped drain (LDD) regions for the transistor.
    Type: Application
    Filed: October 31, 2001
    Publication date: April 4, 2002
    Inventors: Zhiqiang Wu, Paul Hatab
  • Publication number: 20020037613
    Abstract: Methods of forming field effect transistors and related field effect transistor constructions are described. A masking layer is formed over a semiconductive substrate and an opening having sidewalls is formed therethrough. The opening defines a substrate area over which a field effect transistor gate is to be formed. A dopant of a first conductivity type is provided through the opening and into the substrate. Sidewall spacers are formed over respective sidewalls of the opening. Enhancement dopant of a second conductivity type which is different from the first conductivity type is provided through the opening and into the substrate. A transistor gate is formed within the opening proximate the sidewall spacers, and source/drain regions of the second conductivity type are diffused into the substrate operably proximate the transistor gate. The first conductivity type dopant forms a halo region proximate the source/drain regions and lightly doped drain (LDD) regions for the transistor.
    Type: Application
    Filed: October 31, 2001
    Publication date: March 28, 2002
    Inventors: Zhiqiang Wu, Paul Hatab
  • Patent number: 6362034
    Abstract: A method of fabricating a FET having a gate electrode with reduced susceptibility to the carrier depletion effect, includes increasing the amount of n-type dopant in the gate electrode of an n-channel FET. In one embodiment of the present invention, an integrated circuit including NFETs and PFETs is produced with increased n-type doping in the n-channel FET gate electrodes without the use of additional photomasking operations. Prior to polysilicon patterning, a phosphorus doped silica glass (PSG) is deposited over the polysilicon. Subsequent to patterning of the polysilicon, NFET areas are masked, and exposed PFET areas subjected to source/drain extension implant operations. During this sequence, the PSG is removed from PFET areas but remains in the NFET areas. An anneal is performed to drive the phosphorus from the PSG into the NFET gate electrodes. NFET source/drain extensions are formed, and conventional MOSFET processing operations may then be performed to complete the integrated circuit.
    Type: Grant
    Filed: December 20, 1999
    Date of Patent: March 26, 2002
    Assignee: Intel Corporation
    Inventors: Justin S. Sandford, Kaizad R. Mistry
  • Patent number: 6355963
    Abstract: A semiconductor device of the invention is formed so that the impurity concentration of a semiconductor substrate (1) under a source diffusion layer (2) is lower than the impurity concentration on a source side of a p-type impurity diffusion layer (6). Therefore, in the semiconductor device of the invention, the junction capacitance of the p-n junction between the source and the substrate is smaller as compared with a conventional LDC structure. In general, the speed of a device is proportional to the product obtained by multiplying together a load capacitance and an inverse of a current value of the device. Accordingly, in the case of applying the present invention to a circuit such as a NAND type CMOS circuit in which a voltage is applied to a region between the source and the substrate, the speed of the device is not decreased. On the other hand, the power consumption of a device is proportional to the product obtained by multiplying together a load capacitance and the square of an applied voltage.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: March 12, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Akira Hiroki, Shinji Odanaka
  • Publication number: 20020025620
    Abstract: Methods of forming field effect transistors and related field effect transistor constructions are described. A masking layer is formed over a semiconductive substrate and an opening having sidewalls is formed therethrough. The opening defines a substrate area over which a field effect transistor gate is to be formed. A dopant of a first conductivity type is provided through the opening and into the substrate. Sidewall spacers are formed over respective sidewalls of the opening. Enhancement dopant of a second conductivity type which is different from the first conductivity type is provided through the opening and into the substrate. A transistor gate is formed within the opening proximate the sidewall spacers, and source/drain regions of the second conductivity type are diffused into the substrate operably proximate the transistor gate. The first conductivity type dopant forms a halo region proximate the source/drain regions and lightly doped drain (LDD) regions for the transistor.
    Type: Application
    Filed: January 31, 2000
    Publication date: February 28, 2002
    Inventors: Zhiqiang Wu, Paul Hatab
  • Patent number: 6337248
    Abstract: Manufactured is a semiconductor device that has a substrate and a surface channel nMOS and a buried channel nMOS as well as a surface channel pMOS and a buried channel pMOS formed on the substrate. An n+ dopant is introduced prior to pattering a polycrystalline semiconductor layer that forms respective gate electrodes of the surface channel nMOS and the buried channel pMOS. A p+ dopant is also introduced prior to pattering a polycrystalline semiconductor layer that forms respective gate electrodes of the surface channel pMOS and the buried channel nMOS.
    Type: Grant
    Filed: August 19, 1999
    Date of Patent: January 8, 2002
    Assignee: NEC Corporation
    Inventor: Kiyotaka Imai
  • Patent number: 6331458
    Abstract: An MOS device is provided using indium as a threshold adjust implant in the channel regions of an NMOS device and/or in the conductive gate overlying the channel region in a PMOS device. Indium ions are relatively immobile and achieve location stability in the areas in which they are implanted. They do not readily segregate and diffuse in the lateral directions as well as in directions perpendicular to the silicon substrate. Placement immobility is necessary in order to minimize problems of threshold skew and gate oxide thickness enhancement. Additionally, it is believed that indium atoms within the channel region minimize hot carrier effects and the problems associated therewith.
    Type: Grant
    Filed: September 22, 1995
    Date of Patent: December 18, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mohammed Anjum, Alan L. Stuber, Ibrahim K. Burki
  • Patent number: 6329218
    Abstract: A method for fabricating a CMOS image sensor is disclosed. The CMOS sensor includes the portions of sensor photo-diode array NMOS and PMOS. In the method, partial steps involving implantation for image sensor fabrication are implemented at different times with the fabrication of NMOS. The method is compatible with the present process only to add a mask for patterning sensor implantation and to modify some traditional patterns of masks. The doses of the field region within the region of sensor photo-diode array can be implemented separately and are not subject to higher dopants for NMOS in the present fabrication. Thus, the doses for the sensor photo-diode array can be adjusted to meet the requirements of isolation and low dark current for the image sensor.
    Type: Grant
    Filed: June 8, 2000
    Date of Patent: December 11, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Jui-Hsiang Pan
  • Patent number: 6326254
    Abstract: Wells of n- and p-type are formed in a p-type substrate. Wells of p-type are also formed in the n-type well. Both the p-type wells are formed by the same process at the same time to make MOS transistors have different threshold voltages. MOS transistors having a long gate length and a low threshold voltage are formed in the p-well in the n-well, and MOS transistors having a short gate length and a high threshold voltage are formed in the p-well at the outside of the n-well. Fuses are formed over the p-type wells in the n-type well at a high density.
    Type: Grant
    Filed: May 1, 1998
    Date of Patent: December 4, 2001
    Assignees: Fujitsu Limited, Fujitsu VLSI Limited
    Inventors: Taiji Ema, Satoru Miyoshi, Tatsumi Tsutsui, Masaya Katayama, Masayoshi Asano, Kenichi Kanazawa
  • Publication number: 20010044176
    Abstract: Process of manufacturing a semiconductor device comprising a step of forming recessed zones in a semiconductor layer of a first conductivity type, a step of oxidation for forming a gate oxide layer at the sidewalls of the recessed zones, a step of forming a polysilicon gate electrode inside the recessed zones, a step of forming body regions of a second conductivity type in the semiconductor layer between the recessed zones, and a step of forming source regions of the first conductivity type in the body regions. The step of forming recessed zones comprises a step of local oxidation of the surface of the semiconductor layer wherein the recessed zones will be formed, with an oxide growth at the semiconductor layer's cost in order to obtain thick oxide regions penetrating in the semiconductor layer, and a step of etching wherein the oxide of the thick oxide regions is removed.
    Type: Application
    Filed: December 7, 1999
    Publication date: November 22, 2001
    Inventor: DELFO NUNZIATO SANFILIPPO
  • Publication number: 20010041431
    Abstract: Useful to inhibit reverse engineering, semiconductor devices and methods therefor include formation of two active regions over a substrate region in the semiconductor device. According to an example embodiment, a dopable link, or region, between two heavily doped regions can be doped to achieve a first polarity type, with the two heavily doped regions of the opposite polarity. If dictated by design requirements, the dopable region is adapted to conductively link the two heavily doped regions. A dielectric is formed over the dopable region and extends over a portion of each of the two heavily doped regions to inhibit silicide formation over edges of the dopable region. In connection with a salicide process, a silicide is then formed adjacent the dielectric and formed over another portion of the two heavily doped regions.
    Type: Application
    Filed: July 24, 2001
    Publication date: November 15, 2001
    Applicant: VLSI TECHNOLOGY, INC.
    Inventors: Gregory Stuart Scott, Emmanuel de Muizon, Martin Harold Manley
  • Patent number: 6316317
    Abstract: In a nonvolatile semiconductor memory device including a plurality of memory cells each formed by one selection transistor and one memory transistor connected in series, the thickness of a first gate insulating layer of the selection transistor is smaller than the thickness of a second gate insulating layer of the memory transistor.
    Type: Grant
    Filed: March 15, 2000
    Date of Patent: November 13, 2001
    Assignee: NEC Corporation
    Inventors: Masato Kawata, Tsutomu Tashiro
  • Patent number: 6312981
    Abstract: A method for producing a semiconductor device includes the steps of: forming an impurity diffusion layer for controlling a threshold voltage by ion implantation; and conducting a high-temperature rapid heat treatment for recovering crystal defects generated by the ion implantation. More specifically, treatment conditions for the high-temperature rapid heat treatment are set in such a manner that interstitial atoms causing the crystal defects are diffused, and impurities in the impurity diffusion layer are not diffused. For example, the high-temperature rapid heat treatment is conducted in a temperature range of about 900° C. to about 1100° C.
    Type: Grant
    Filed: February 23, 2000
    Date of Patent: November 6, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kaori Akamatsu, Shinji Odanaka, Hiroyuki Umimoto
  • Patent number: 6306709
    Abstract: In a MISFET, areas where a channel surface of a channel region is inverted by a first gate voltage and areas where the channel surface is inverted by a second gate voltage are provided in the channel region of the MISFET in plane as components thereof. The channel region 104 having a first impurity concentration determined by a surface concentration of a P-type semiconductor substrate and a channel region 105 having a second impurity concentration determined by doping an impurity to the region selected by a pattern 106 of a mask for doping impurity by ion implantation and others are provided in a channel region of an N-type MOSFET on the P-type semiconductor substrate. The channel region 104 having the first impurity concentration and the channel region 105 having the second impurity concentration are divided into a plurality of plane shapes.
    Type: Grant
    Filed: March 16, 1999
    Date of Patent: October 23, 2001
    Assignee: Seiko Instruments Inc.
    Inventors: Masanori Miyagi, Haruo Konishi, Kazuaki Kubo, Yoshikazu Kojima, Toru Shimizu, Yutaka Saitoh, Toru Machida, Tetsuya Kaneko
  • Patent number: 6306700
    Abstract: A method for forming high voltage devices compatible with low voltage devices on a semiconductor substrate is provided. A substrate is provided. An oxide layer is formed on the substrate. An N well is formed in the substrate. A P well is formed opposite to the N well in the substrate. A plurality of N-field regions are formed as drift regions in the P well and as isolation regions in the N well. A plurality of P-field regions are formed as drift regions in the N well and as isolation regions in the P well region. A plurality of field oxide regions are formed on the N well and the P well in the substrate. N− type doped regions are formed in the P well through an N-grade implantation, prior to a gate oxide layer and a polysilicon layer formation. An N+ type doped region in the N−type doped region is formed as a source/drain region for an NMOS transistor in the P well. A P+ type doped region is formed as a source/drain region for a PMOS transistor in the N well.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: October 23, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Sheng-Hsiung Yang
  • Patent number: 6306712
    Abstract: A transistor (30) and method for forming a transistor using an edge blocking material (24) is disclosed herein. The edge blocking material (24) may be located adjacent a gate (22) or disposable gate or may be part of a disposable gate. During an angled pocket implant, the edge blocking material (24) blocks some dopant from entering the semiconductor body (10) and the dopant (18) placed under the edge blocking material is located at a given distance below the surface of the semiconductor body (10).
    Type: Grant
    Filed: December 3, 1998
    Date of Patent: October 23, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Mark S. Rodder, Mahalingam Nandakumar
  • Patent number: 6303417
    Abstract: The present invention discloses a method of forming CMOS transistors with self-aligned planarization twin-well by using fewer mask counts. After a silicon nitride layer is formed over a first pad oxide layer on a semiconductor substrate, an N-well region is defined by first implanting in the semiconductor substrate. After removing the first photoresist layer, a second ion implantation is performed to define a P-well region. Next, both the silicon nitride layer and the first pad oxide layer are removed. A high temperature long time anneal is done to form a deep twin-well. A plurality of LPD oxide trench isolation regions is formed to define an active area region. A second pad oxide layer is formed on the substrate. Finally, the standard processes can be employed for fabricating the CMOS transistors on the substrate.
    Type: Grant
    Filed: May 17, 1999
    Date of Patent: October 16, 2001
    Assignee: TSMC-Acer Semiconductor Manufacturing Corp.
    Inventor: Shye-Lin Wu
  • Patent number: 6303444
    Abstract: A method for providing low power MOS devices that include buried wells specifically designed to provide a resistive path between the bulk material of the device and a well tie contact. By providing a resistive path, an equivalent RC circuit is introduced to the device that allows the bulk material potential to track the gate potential, thereby advantageously lowering the threshold voltage as the device turns on and raising the threshold voltage as the device turns off. In addition, the introduction of the resistive path also allows the bulk material potential to be controlled and stabilize at an equilibrium potential between clock cycles.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: October 16, 2001
    Assignee: Sun Microsystems, Inc.
    Inventor: James B. Burr
  • Patent number: 6300182
    Abstract: For fabricating a field effect transistor on a semiconductor substrate in SOI (semiconductor on insulator) technology, a pillar of semiconductor material is formed on a layer of buried insulating material. The pillar has a top surface, a left side surface, a right side surface, a front side surface, and a back side surface, and the pillar has a width and a length. A dielectric structure comprised of a hardmask dielectric material is formed on the top surface of the pillar. A first gate dielectric is formed on the left side surface of the pillar, and a second gate dielectric is formed on the right side surface of the pillar, along a gate length of the length of the pillar. A gate electrode material is deposited on the dielectric structure and on the first gate dielectric and the second gate dielectric to surround the pillar at the top surface and the left and right side surfaces of the pillar for the gate length of the pillar.
    Type: Grant
    Filed: December 11, 2000
    Date of Patent: October 9, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Publication number: 20010025997
    Abstract: The threshold voltages of transistors are set by controlling the amount of overlap in the direction of channel length between a channel region and a source region and the amount of overlap in the direction of channel length between the channel region and a drain region, whereby, in a semiconductor integrated circuit device in which transistors having different threshold voltages or different channel widths are mounted together, the ion injection conditions for the channel regions can be shared, thereby reducing the number of masks and the number of processing steps.
    Type: Application
    Filed: March 13, 2001
    Publication date: October 4, 2001
    Applicant: NEC Corporation
    Inventor: Hideaki Onishi
  • Patent number: 6297082
    Abstract: A fabrication method for a metal oxide semiconductor (MOS) transistor involves forming gate oxide layers of different thicknesses on a core region and a input/output (I/O) region. After forming wells in the substrate, two implantation regions for providing a threshold voltage (VT) adjustment and an anti-punch through layer are formed respectively in a P-well and a N-well of the core region as well as a P-well and a N-well of the I/O region. The method involves forming a pattern mask on the gate oxide layer, wherein the pattern mask has an opening, which may be a channel that corresponds to the P-well of the core region. With the pattern mask serving as an ion implantation mask, two implantation regions for providing the VT adjustment and the anti-punch through layer are formed in the P-well of the core region. After the pattern mask is removed, the steps described above are repeated in order to form implantation regions in other regions, but the sequence of the steps can be swapped around at will.
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: October 2, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Tony Lin, Alice Chao, Jih-Wen Chou
  • Patent number: 6294416
    Abstract: The present invention discloses a method of forming CMOS transistors with self-aligned planarization twin-well by using fewer mask counts. After a silicon nitride layer is formed over a first pad oxide layer on a semiconductor substrate, an N-well region is defined by first implanting in the semiconductor substrate. After removing the first photoresist layer, a second ion implantation is performed to define a P-well region. Next, both the silicon nitride layer and the first pad oxide layer are removed. A high temperature long time anneal is done to form a deep twin-well. A plurality of trench isolation regions is formed to define an active area region. A second pad oxide layer is formed on the substrate. A high energy and low dose blanket phosphorous is implanted in a semiconductor substrate for forming a punch-through stopping layer of the PMOSFET device. A low energy and low dose blanket BF2 implant then adjust both the threshold voltages of the PMOSFET and NMOSFET.
    Type: Grant
    Filed: May 7, 1999
    Date of Patent: September 25, 2001
    Assignee: Texas Instruments-Acer Incorporated
    Inventor: Shye-Lin Wu
  • Publication number: 20010019862
    Abstract: In a semiconductor device and method for manufacturing the same, a buried insulating layer is formed on a semiconductor substrate, multiple depletion regions of a first conductivity type are formed on the buried insulating layer and separated from one another, a field oxide layer is formed among the depletion regions of the buried insulating layer, a gate oxide layer is formed on the depletion regions, a gate is formed on the gate oxide layer, impurity regions that are heavily doped with impurities of a second conductivity type is formed in the depletion regions on both sides of the gate to define a source and drain, and a counter doping layer that is lightly doped with impurities of the second conductivity type is formed under the channel defined by a portion of the depletion regions positioned between the impurity regions.
    Type: Application
    Filed: July 14, 1998
    Publication date: September 6, 2001
    Inventors: JEONG-HWAN SON, HYEONG-MO YANG
  • Publication number: 20010019869
    Abstract: A method of forming a MOS transistor without a lightly doped drain (LDD) region between the channel region and drain is provided. The channel region and a drain extension are formed from two separate tilted ion implantation processes, after the deposition of the gate electrode. The tilted implantation forms a relatively short channel length, with respect to the length of the gate electrode. The position of the channel is offset, and directly adjoins the source. A second tilted implant process forms a drain extension region under the gate electrode, adjacent the drain. Elimination of LDD areas reduces the number of masking and doping steps required to manufacture a transistor. Further, the drain extension area promotes transistor performance, by eliminating source resistance. At the same time, sufficient doping of the drain extension area insures that the drain resistance through the drain extension remains low.
    Type: Application
    Filed: January 23, 2001
    Publication date: September 6, 2001
    Inventor: Sheng Teng Hsu
  • Patent number: 6281062
    Abstract: A novel high-speed, highly reliable VSLI manufacturable metal oxide semiconductor transistor with self-aligned punchthrough stops. A gate insulating layer is formed on a substrate having a first concentration of a first conductivity type. An inner gate electrode of a predetermined length and width is formed on the gate insulating layer. The inner gate electrode has laterally opposite sidewalls along the width of the inner gate electrode. A first and second punchthrough stop regions of a second concentration of the first conductivity type wherein the second concentration is greater than the first concentration, are disposed in the substrate in alignment with the laterally opposite sidewalls of the inner gate electrode. A pair of conductive spacers adjacent to and in electrical contact with respective laterally opposite sidewalls of the inner gate electrode are formed on the gate insulating layer of the transistor. The conductive spacers, along with the inner gate electrode, form a MOSFET gate electrode.
    Type: Grant
    Filed: June 16, 1998
    Date of Patent: August 28, 2001
    Assignee: Intel Corporation
    Inventor: Julian J. Sanchez
  • Patent number: 6277682
    Abstract: A mixed voltage CMOS process for fabricating transistors with different source-drain profiles is described. The present invention comprises a method for manufacturing a CMOS integrated circuit with a low voltage device 24 and a high voltage device 26 comprising the steps of obtaining active regions in a substrate 10 with gates 30 and 32 for the low voltage device 24 and the high voltage device 26, respectively, obtaining lightly implanted source and drain extensions 38 and 40 for the low voltage device 24, forming a side wall 42, 44, 46 and 48 next to each gate 30 and 32, and angularly implanting each of the source and drain regions 52, 54, 56 and 58 with an impurity 50 of a selected type for both the low voltage device 24 and the high voltage device 26, to eliminate the need for separately implanting the first voltage device and second voltage device with different source-drain extensions.
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: August 21, 2001
    Assignee: Texas Instruments Incorporated
    Inventor: George R. Misium