Doping Of Semiconductor Channel Region Beneath Gate Insulator (e.g., Threshold Voltage Adjustment, Etc.) Patents (Class 438/217)
  • Publication number: 20080124858
    Abstract: A semiconductor fabrication process includes forming an NMOS gate electrode overlying a biaxially strained NMOS active region and forming a PMOS gate electrode overlying a biaxially strained PMOS active region. Amorphous silicon is created in a PMOS source/drain region to reduce PMOS channel direction tensile stress. A PMOS source/drain implant is performed in the amorphous PMOS source/drain. Creating amorphous silicon in the PMOS source/drain may include implanting an electrically neutral species (e.g., Ge, Ga, or Xe). The wafer then may be annealed and a second PMOS amorphizing implant performed. PMOS halo, source/drain extension, and deep source/drain implants may then be performed. Following the first amorphizing implant, a sacrificial compressive stressor may be formed over the PMOS region, the wafer annealed to recrystallize the amorphous PMOS region, and the compressive stressor removed.
    Type: Application
    Filed: August 7, 2006
    Publication date: May 29, 2008
    Inventors: Bich-Yen Nguyen, Voon-Yew Thean
  • Publication number: 20080121992
    Abstract: A semiconductor device includes a substrate having an n-type transistor region and a p-type transistor region. The n-type transistor region includes a first gate electrode, first source/drain regions located adjacent to the first gate electrode, a first channel region located between the first source/drain regions, and a first diffusion barrier region located in the first source/drain regions or in both the first channel region and the first source/drain regions. The p-type transistor region includes a second gate electrode, second source/drain regions located adjacent to the second gate electrode, a second channel region located between the second source/drain regions, and a second diffusion barrier region located in the second source/drain regions or in both the second channel region and the second source/drain regions.
    Type: Application
    Filed: August 8, 2007
    Publication date: May 29, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ji-hye YI, Hwa-sung RHEE, Tetsuji UENO, Ho LEE, Myung-sun KIM
  • Publication number: 20080116518
    Abstract: The present invention provides a device for ESD protection and voltage stabilizing in order to let chip space be put in better utilization. During different conditions (i.e. ESD current occurrences and normal operation), identical elements of the device are used both for ESD protection and for voltage stabilization. The chip size and manufacturing costs necessary for the additional voltage stabilizing capacitors are thereby saved.
    Type: Application
    Filed: November 15, 2007
    Publication date: May 22, 2008
    Applicant: Realtek Semiconductor Corp.
    Inventors: Tung-Cheng Kuo, Yi-Lin Chen
  • Patent number: 7368342
    Abstract: A method for manufacturing a semiconductor device includes forming a gate-insulating film on a semiconductor substrate; forming a gate electrode on the gate-insulating film to be electrically insulated from the semiconductor substrate; etching the gate electrode, the gate insulating film and the semiconductor substrate to form a trench which is used to electrically isolate a device region for forming a device from the remainder region on the substrate top surface; and etching the inside of the trench using a gas containing Cl2 and HBr with a different condition from the etching condition of the semiconductor substrate.
    Type: Grant
    Filed: September 24, 2004
    Date of Patent: May 6, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahisa Sonoda, Hiroaki Tsunoda, Eiji Sakagami, Hidemi Kanetaka, Kenji Matsuzaki, Takanori Matsumoto
  • Patent number: 7364957
    Abstract: A semiconductor device with improved source/drain junctions and methods for fabricating the device are disclosed. A preferred embodiment comprises a MOS transistor with a gate structure overlying a substrate, lightly doped source/drain regions formed in the substrate aligned to the gate structure, sidewall spacers formed on the sidewalls of the gate structure and overlying the lightly doped source/drain regions, deeper source/drain diffusions formed into the substrate aligned to the sidewall spacers and additional pocket implants of source/drain dopants formed at the boundary of the deeper source/drain diffusions and the substrate. In a preferred method, the additional pocket implants are formed using an angled ion implant with the angle being between 4 and 45 degrees from vertical. Additional embodiments include recesses formed in the source/drain regions and methods for forming the recesses.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: April 29, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kong-Beng Thei, Chung Long Cheng, Harry Chuang
  • Patent number: 7361540
    Abstract: Certain aspects of a method for reducing noise disturbing at least one signal in an electronic device may comprise shielding a first layer doped with a first dopant from a signaling layer employing a second layer doped with a second dopant. A first signaling component of the signaling layer may be coupled to the second layer and a second signaling component of the signaling layer may be coupled to the second layer. The second layer may be coupled to the first layer, and this reduces the signal disturbing noise in the electronic device. Shielding the first layer from the signaling layer may comprise disposing the second layer between the first layer and the signaling layer. Shielding the first layer from the signaling layer may comprise disposing a deep N-well between the first layer and the signaling layer.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: April 22, 2008
    Assignee: Broadcom Corporation
    Inventor: Ichiro Fujimori
  • Patent number: 7354817
    Abstract: A semiconductor device includes a semiconductor substrate. A gate electrode is formed on the semiconductor substrate via a gate insulating film. A source region and a drain region of a first conductivity type are formed on the first side and the second side of the gate electrode, respectively, in the semiconductor substrate. A punch-through stopper region of a second conductivity type is formed in the semiconductor substrate such that the second conductivity type punch-through stopper region is located between the source region and the drain region at distances from the source region and the drain region and extends in the direction perpendicular to the principal surface of the semiconductor substrate. The concentration of an impurity element of the second conductivity type in the punch-through stopper region is set to be at least five times the substrate impurity concentration between the source region and the drain region.
    Type: Grant
    Filed: December 15, 2005
    Date of Patent: April 8, 2008
    Assignee: Fujitsu Limited
    Inventors: Taketo Watanabe, Toshio Nomura, Shinichi Kawai, Takayuki Kawamata, Shigeo Satoh
  • Publication number: 20080079086
    Abstract: A semiconductor device and a method of manufacturing the semiconductor device, in which the semiconductor device includes a semiconductor substrate in which PMOS transistor regions and NMOS transistor regions are formed, a PMOS transistor including P-type source and drain regions and a gate electrode, and an NMOS transistor formed on an Si channel region between N-type source and drain regions. The PMOS transistor is formed in each PMOS transistor region, and the gate electrode is formed on a high-dielectric gate insulating film formed on an SiGe channel region between the P-type source and drain regions. Further, the NMOS transistor includes a high-dielectric gate insulating film and a gate electrode formed on the gate insulating film, and the NMOS transistor is formed in each NMOS transistor region.
    Type: Application
    Filed: July 31, 2007
    Publication date: April 3, 2008
    Inventors: Hyung-suk Jung, Jong-ho Lee, Sung-kee Han, Ho Lee, Ha-jin Lim
  • Patent number: 7351627
    Abstract: Disclosed herein is a method of manufacturing a semiconductor device via gate-through ion implantation, comprising forming a gate stack on a semiconductor substrate and performing ion implantation for control of the threshold voltage and junction ion implantation for formation of source/drain regions, on the entire surface of the semiconductor substrate having the gate stack formed thereon. In accordance with the present invention, since ion implantation is carried out after formation of the gate stack involving a thermal process, there are no changes in concentrations of implanted dopants due to heat treatment upon formation of the gate stack.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: April 1, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Woo Jin, Min Yong Lee, Kyoung Bong Rouh
  • Publication number: 20080073713
    Abstract: A method of fabricating a semiconductor device having a stress enhanced MOS transistor is provided. A MOS transistor may be formed in a desired, or alternatively, a predetermined region of a semiconductor substrate. A first sacrificial pattern, formed over the source and drain regions of a MOS transistor, may expose sidewall spacers and cover the upper region of the gate pattern. Thinner spacers may be formed by etching the exposed sidewall spacers using the first sacrificial pattern as an etch mask. A stress liner may be formed over the MOS transistor having the thinner spacers.
    Type: Application
    Filed: April 23, 2007
    Publication date: March 27, 2008
    Inventors: Ki-Chul Kim, Dong-Suk Shin
  • Patent number: 7329583
    Abstract: An structure for electrically isolating a semiconductor device is formed by implanting dopant into a semiconductor substrate that does not include an epitaxial layer. Following the implant the structure is exposed to a very limited thermal budget so that dopant does not diffuse significantly. As a result, the dimensions of the isolation structure are limited and defined, thereby allowing a higher packing density than obtainable using conventional processes which include the growth of an epitaxial layer and diffusion of the dopants. In one group of embodiments, the isolation structure includes a deep layer and a sidewall which together form a cup-shaped structure surrounding an enclosed region in which the isolated semiconductor device may be formed. The sidewalls may be formed by a series of pulsed implants at different energies, thereby creating a stack of overlapping implanted regions.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: February 12, 2008
    Assignees: Advanced Analogic Technologies, Inc., Advanced Analogic Technologies (Hong Kong) Limited
    Inventors: Richard K. Williams, Michael E. Cornell, Wai Tien Chan
  • Patent number: 7329570
    Abstract: An exemplary method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a P-well and an N-well for high voltage (HV) devices and a first well in a low voltage/medium voltage (LV/MV) region for a logic device, in a semiconductor substrate; simultaneously forming a second well in the LV/MV region for a logic device and a drift region for one of the HV devices using the same mask; and respectively forming gate oxide layers on the semiconductor substrate in the HV/MV/LV regions. According to the present invention, the number of photolithography processes can be reduced by replacing or combining an additional mask for forming an extended drain region of a high voltage depletion-enhancement CMOS (DECMOS) with a mask for forming a typical well of a logic device, so productivity of the total process of the device can be enhanced.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: February 12, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Kyung-Ho Lee
  • Patent number: 7329910
    Abstract: The invention includes methods of forming field effect transistor gates. In one implementation, a series of layers is formed proximate a semiconductive material channel region. The layers comprise a gate dielectric layer and a conductive metal-comprising layer having an ion implanted polysilicon layer received therebetween. Patterned masking material is formed over the series of layers. Using the patterned masking material as a mask, etching is conducted through the conductive metal-comprising layer and only partially into the ion implanted polysilicon layer. After such etching, the ion implanted polysilicon is annealed effective to electrically activate implanted impurity atoms received therein. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: June 6, 2006
    Date of Patent: February 12, 2008
    Assignee: Micron Technology, Inc.
    Inventors: John K. Zahurak, David K. Hwang
  • Publication number: 20080026521
    Abstract: A method for manufacturing a transistor of a semiconductor device is provided. The method includes the steps of: forming a gate over a semiconductor substrate including an NMOS transistor region and a PMOS transistor region; forming a photoresist pattern to open the gate of the PMOS transistor region; forming a first Lightly Doped Drain (LDD) region in the semiconductor substrate on both sides of the gate of the PMOS transistor region; forming a second LDD region in the semiconductor substrate on both sides of the gate of the PMOS transistor region; forming a gate spacer at sidewalls of the gate; and forming a junction region in the semiconductor substrate on the both sides of the gate spacer.
    Type: Application
    Filed: June 12, 2007
    Publication date: January 31, 2008
    Inventor: Woo Young Chung
  • Patent number: 7297584
    Abstract: In a semiconductor device having a dual stress liner for improving electron mobility, the dual stress liner includes a first liner portion formed on a PMOSFET and a second liner portion formed on an NMOSFET. The first liner portion has a first compressive stress, and the second liner portion has a second compressive stress smaller than the first compressive stress. The dual stress liner may be formed by forming a stress liner on a semiconductor substrate on which the PMOSFET and the NMOSFET are formed and selectively exposing a portion of the stress liner on the NMOSFET.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: November 20, 2007
    Assignees: Samsung Electronics Co., Ltd., Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Jae-Eon Park, Ja-Hum Ku, Jun-Jung Kim, Dae-Kwon Kang, Young Way Teh
  • Patent number: 7297583
    Abstract: A method is provided in which an n-type field effect transistor (NFET) and a p-type field effect transistor (PFET) each have a channel region disposed in a first single-crystal semiconductor region having a first composition. A stress is applied at a first magnitude to a channel region of the PFET but not at that magnitude to the channel region of the NFET. The stress is applied by a single-crystal semiconductor layer having a second composition such that the single-crystal semiconductor layer is lattice-mismatched to the first region. The semiconductor layer is formed over the source and drain regions and optionally over the extension regions of the PFET at a first distance from the channel region of the PFET and is formed over the source and drain regions of the NFET at a second, greater distance from the channel region of the NFET, or the semiconductor layer having the second composition is not formed at all in the NFET.
    Type: Grant
    Filed: February 7, 2005
    Date of Patent: November 20, 2007
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Dureseti Chidambarrao, Omer O. Dokumaci, Haining S. Yang
  • Patent number: 7291527
    Abstract: Forming metal gate transistors that have different work functions is disclosed. In one example, a first metal, which is a ‘mid gap’ metal, is manipulated in first and second regions by second and third metals, respectively, to move the work function of the first metal in opposite directions in the different regions. The resulting work functions in the different regions correspond to that of different types of the transistors that are to be formed.
    Type: Grant
    Filed: September 7, 2005
    Date of Patent: November 6, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: James Joseph Chambers, Mark Robert Visokay, Luigi Colombo, Antonio Luis Pacheco Rotondaro
  • Patent number: 7279430
    Abstract: A process for fabricating a MOSFET device featuring a channel region comprised with a silicon-germanium component is provided. The process features employ an angled ion implantation procedure to place germanium ions in a region of a semiconductor substrate underlying a conductive gate structure. The presence of raised silicon shapes used as a diffusion source for a subsequent heavily doped source/drain region, the presence of a conductive gate structure, and the removal of dummy insulator previously located on the conductive gate structure allow the angled implantation procedure to place germanium ions in a portion of the semiconductor substrate to be used for the MOSFET channel region. An anneal procedure results in the formation of the desired silicon-germanium component in the portion of semiconductor substrate to be used for the MOSFET channel region.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: October 9, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Sun-Jay Chang, Shien-Yang Wu
  • Patent number: 7276431
    Abstract: An structure for electrically isolating a semiconductor device is formed by implanting dopant into a semiconductor substrate that does not include an epitaxial layer. Following the implant the structure is exposed to a very limited thermal budget so that dopant does not diffuse significantly. As a result, the dimensions of the isolation structure are limited and defined, thereby allowing a higher packing density than obtainable using conventional processes which include the growth of an epitaxial layer and diffusion of the dopants. In one group of embodiments, the isolation structure includes a deep layer and a sidewall which together form a cup-shaped structure surrounding an enclosed region in which the isolated semiconductor device may be formed. The sidewalls may be formed by a series of pulsed implants at different energies, thereby creating a stack of overlapping implanted regions.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: October 2, 2007
    Assignees: Advanced Analogic Technologies, Inc., Advanced Analogic Technologies (Hong Kong) Limited
    Inventors: Richard K. Williams, Michael E. Cornell, Wai Tien Chan
  • Patent number: 7268033
    Abstract: A field effect transistor (FET) comprising an isolation layer, a source region positioned over the isolation layer, a drain region positioned over the isolation layer, a bifurcated silicide gate region positioned over the channel region, and a gate oxide layer adjacent to the gate region, wherein the gate oxide layer comprises an alkali metal ion implanted at a dosage calculated based on threshold voltage test data provided by a post silicide electrical test conducted on said FET, wherein the alkali metal ion comprises any of cesium and rubidium.
    Type: Grant
    Filed: January 26, 2006
    Date of Patent: September 11, 2007
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 7265012
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: September 4, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7265011
    Abstract: A method of manufacturing a transistor according to some embodiments includes sequentially forming a dummy gate oxide layer and a dummy gate electrode on an active region of a semiconductor substrate, ion-implanting a first conductive impurity into source/drain regions to form first impurity regions, and ion-implanting the first conductive impurity to form second impurity regions that are overlapped by the first impurity regions. The method includes forming a pad polysilicon layer on the source/drain regions, sequentially removing the pad polysilicon layer and the dummy gate electrode from a gate region of the semiconductor substrate, annealing the semiconductor substrate, and ion-implanting a second conductive impurity to form a third impurity region in the gate region. The method includes removing the dummy gate oxide layer, forming a gate insulation layer, and forming a gate electrode on the gate region.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: September 4, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Man Yoon, Dong-gun Park, Makoto Yoshida, Gyo-Young Jin, Jeong-dong Choe, Sang-Yeon Han
  • Patent number: 7259072
    Abstract: A method is described to fabricate a MOSFET device with increased threshold voltage stability. After the pad oxide and pad nitride are deposited on the silicon substrate and shallow trenches are patterned and the pad nitride removed. As+ or P+ species are then implanted using low energy ions of approximately 5 keV into the pad oxide. Conventional As+ or P+ implant follows the shallow implant to form the n-wells. With this procedure of forming a sacrificial shallow implantation oxide layer, surface dopant concentration variation at pad oxide:silicon substrate interface is minimized; and threshold voltage stability variation of the device is significantly decreased.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: August 21, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yisuo Li, Francis Benistant, Kim Hyun Sik, Zhao Lun
  • Patent number: 7244642
    Abstract: The present invention provides a method of fabricating a microelectronics device. In one aspect, the method comprises depositing a protective layer (510) over a spacer material (415) located over gate electrodes (250) and a doped region (255) located between the gate electrodes (250), removing a portion of the spacer material (415) and the protective layer (510) located over the gate electrodes (250). A remaining portion of the spacer material (415) remains over the top surface of the gate electrodes (250) and over the doped region (255), and a portion of the protective layer (510) remains over the doped region (255). The method further comprises removing the remaining portion of the spacer material (415) to form spacer sidewalls on the gate electrodes (250), expose the top surface of the gate electrodes (250), and leave a remnant of the spacer material (415) over the doped region (255).
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: July 17, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Steven A. Vitale, Hyesook Hong, Freidoon Mehrad
  • Patent number: 7238563
    Abstract: A trench isolation region is formed in a surface region of a semiconductor substrate to form a MOS type element region. A mask layer having an opening portion is formed on the semiconductor layer, the opening portion continuously ranging on the entire surface of the MOS type element region and on part of the trench isolation region provided around the MOS type element region. A first impurity ion is implanted into the entire surface via the mask layer to form a peak of the impurity profile is situated in the semiconductor layer under the bottom surface of the shallow trench isolation region. A second impurity ion is implanted into the entire surface via the mask layer to form a peak of the impurity profile is situated on the midway of the depth direction of the trench isolation region. Then, the first and second impurity ions are activated.
    Type: Grant
    Filed: March 8, 2004
    Date of Patent: July 3, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Norihisa Arai, Takeshi Nakano, Koki Ueno, Akira Shimizu
  • Patent number: 7232733
    Abstract: A method of forming an integrated circuit configured to accommodate higher voltage and low voltage devices. In one embodiment, the method of forming the integrated circuit includes forming a transistor by forming a gate over a semiconductor substrate. The method of forming the transistor also includes forming a source/drain by forming a lightly doped region adjacent a channel region recessed into the semiconductor substrate and forming a heavily doped region adjacent the lightly doped region. The method of forming the transistor further includes forming an oppositely doped well under and within the channel region, and forming a doped region between the heavily doped region and the oppositely doped well. The doped region has a doping concentration profile less than a doping concentration profile of the heavily doped region. The method of forming the integrated circuit also includes forming a driver switch of a driver on the semiconductor substrate.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: June 19, 2007
    Assignee: Enpirion, Inc.
    Inventors: Ashraf W. Lotfi, Jian Tan
  • Patent number: 7223663
    Abstract: MOS transistors having a low junction capacitance between their halo regions and their source/drain extension regions and methods for manufacturing the same are disclosed. A disclosed MOS transistor includes: a semiconductor substrate of a first conductivity type; a gate insulating layer pattern and a gate on an active region of the substrate; spacers on side walls of the gate; source/drain extension regions of a second conductivity type within the substrate on opposite sides of the gate, the source/drain extension regions having a graded junction structure; halo impurity regions of the first conductivity type within the substrate under opposite edges of the gate adjacent respective ones of the source/drain extension regions; and source/drain regions of the second conductivity type within the substrate on opposite sides of the spacer.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: May 29, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hak-Dong Kim
  • Patent number: 7223646
    Abstract: An ideal step-profile in a channel region is realized easily and reliably, whereby suppression of the short-channel effect and prevention of mobility degradation are achieved together. A silicon substrate is amorphized to a predetermined depth from a semiconductor film, and impurities to become the source/drain are introduced in this state. Then the impurities are activated, and the amorphized portion is recrystallized, by low temperature solid-phase epitaxial regrowth. With the processing temperature required for the low temperature solid-phase epitaxial regrowth being within a range of 450° C.–650° C., thermal diffusion of the impurities into the semiconductor film is suppressed, thereby maintaining the initial steep step-profile.
    Type: Grant
    Filed: July 29, 2005
    Date of Patent: May 29, 2007
    Assignee: Fujitsu Limited
    Inventors: Toshihiko Miyashita, Kunihiro Suzuki
  • Patent number: 7220636
    Abstract: A variety of processes are disclosed for controlling NDR characteristics for an NDR element, such as peak-to-valley ratio (PVR), NDR onset voltage (VNDR) and related parameters. The processes are based on conventional semiconductor manufacturing operations so that an NDR device can be fabricated using silicon based substrates and along with other types of devices.
    Type: Grant
    Filed: November 4, 2004
    Date of Patent: May 22, 2007
    Assignee: Synopsys, Inc.
    Inventor: Tsu-Jae King
  • Patent number: 7217622
    Abstract: In a method of manufacturing a semiconductor device to improve structural stability of a semiconductor device in a silicidation process, a substrate is provided to have an active region defined by an isolation layer. An etching mask is formed on the active region and the isolation layer to have a silicidation prevention pattern that at least partially exposes the active region. A gate structure is formed on the exposed active region. A gate spacer is formed on a sidewall of the gate structure positioned on the silicidation prevention pattern. Source/drain regions are formed on the active region using the gate spacer as a mask to thereby form the semiconductor device. Since voids may not be generated in a transistor of the semiconductor device or intrusion of the transistor may be prevented in the silicidation process, the semiconductor device including the transistor may have improved reliability and electrical characteristics.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: May 15, 2007
    Assignee: Samsung Electronics Co., Ltd
    Inventors: Shigenobu Maeda, Young-Wug Kim
  • Patent number: 7217612
    Abstract: A semiconductor device including: a first gate insulating film which is pattern-formed on an N type well region within a P type semiconductor substrate; a second gate insulating film which is formed on the semiconductor substrate except for this first gate insulating film; a gate electrode, which is formed in such a manner that this gate electrode is bridged over the first gate insulating film and the second gate insulating film; a P type body region which is formed in such a manner that this P type body region is located adjacent to the gate electrode; an N type source region and a channel region, which are formed within this P type body region; and an N type drain region which is formed at a position separated from the P type body region.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: May 15, 2007
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Eiji Nishibe, Shuichi Kikuchi
  • Patent number: 7217624
    Abstract: The present invention relates to a non-volatile memory device having conductive sidewall spacers and a method for fabricating the same. The non-volatile memory device includes: a substrate; a gate insulation layer formed on the substrate; a gate structure formed on the gate insulation layer; a pair of sidewall spacers formed on sidewalls of the gate structure; a pair of conductive sidewall spacers for trapping/detrapping charges formed on the pair of sidewall spacers; a pair of lightly doped drain regions formed in the substrate disposed beneath the sidewalls of the gate structure; and a pair of source/drain regions formed in the substrate disposed beneath edge portions of the pair of conductive sidewall spacers.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: May 15, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Heung-Jae Cho, Yong-Soo Kim, Se-Aug Jang, Hyun-Chul Sohn
  • Patent number: 7214591
    Abstract: A HV-MOS device is described, including a substrate, a gate dielectric layer and a gate, a channel region, two doped regions as a source and a drain, a field isolation layer between the gate and at least one of the two doped regions, a drift region and a modifying doped region. The drift region is located in the substrate under the field isolation layer and connects with the channel region and the at least one doped region. The modifying doped region is at the periphery of the at least one doped region.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: May 8, 2007
    Assignee: United Microelectronics Corp.
    Inventor: Jen-Yao Hsu
  • Patent number: 7205185
    Abstract: A double-gate transistor has front (upper) and back gates aligned laterally by a process of forming symmetric sidewalls in proximity to the front gate and then oxidizing the back gate electrode at a temperature of at least 1000 degrees for a time sufficient to relieve stress in the structure, the oxide penetrating from the side of the transistor body to thicken the back gate oxide on the outer edges, leaving an effective thickness of gate oxide at the center, aligned with the front gate electrode. Optionally, an angled implant from the sides of an oxide enhancing species encourages relatively thicker oxide in the outer implanted areas and an oxide-retarding implant across the transistor body retards oxidation in the vertical direction, thereby permitting increase of the lateral extent of the oxidation.
    Type: Grant
    Filed: September 15, 2003
    Date of Patent: April 17, 2007
    Assignee: International Busniess Machines Corporation
    Inventors: Omer H. Dokumaci, Bruce B. Doris, Kathryn W. Guarini, Suryanarayan G. Hegde, MeiKei Ieong, Erin Catherine Jones
  • Patent number: 7195967
    Abstract: In a channel region between the source/drain diffusion layers, impurities of the same conductivity type as the well are doped in an area apart from the diffusion regions. By using as a mask the gate formed in advance, tilted ion implantation in opposite directions is performed to form the diffusion layers and heavily impurity doped region of the same conductivity type as the well in a self-alignment manner relative to the gate.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: March 27, 2007
    Assignee: Renesas Technology Corp.
    Inventors: Yoshitaka Sasago, Takashi Kobayashi
  • Patent number: 7195968
    Abstract: A method of fabricating a semiconductor device includes forming a resist pattern so that an opening between select gates of a select gate transistor is formed in a memory cell region, implanting threshold-adjusting ions under the select gate with the resist pattern serving as a mask and removing an oxide film, forming a nitride film and an interlayer insulation film after the resist pattern has been removed, forming a resist pattern used to form a contact hole between the select gates and a contact hole for a transistor to be formed in the peripheral circuit region, the transistor having a higher breakdown voltage than a memory cell transistor and etching the interlayer insulation film, the nitride film and the gate insulation film individually with the resist pattern serving as a mask.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: March 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Eiji Kamiya, Hirohisa Iizuka, Hiroaki Hazama, Kazuhito Narita, Norio Ohtani
  • Patent number: 7189607
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: March 13, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7180107
    Abstract: A method of fabricating a tunneling nanotube field effect transistor includes forming in a nanotube an n-doped region and a p-doped region which are separated by an undoped channel region of the transistor. Electrical contacts are provided for the doped regions and a gate electrode that is formed upon a gate dielectric layer deposited on at least a portion of the channel region of the transistor.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: February 20, 2007
    Assignee: International Business Machines Corporation
    Inventors: Joerg Appenzeller, Joachim Knoch
  • Patent number: 7179702
    Abstract: A semiconductor device comprises a semiconductor substrate, an N-channel MISFET and a P-channel MISFET provided on the semiconductor substrate, each of the N- and P-channel MISFETs being isolated by an isolation region and having a gate insulating film, a first gate electrode film provided on the gate insulating film of the N-channel MISFET and composed of a first metal silicide, a second gate electrode film provided on the gate insulating film of the P-channel MISFET and composed of a second metal silicide made of a second metal material different from a first metal material composing the first metal silicide, and a work function of the first gate electrode film being lower than that of the second gate electrode film.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: February 20, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kouji Matsuo
  • Patent number: 7129533
    Abstract: A method and apparatus to form a high-concentration, indium-fluorine retrograde well within a substrate. The indium-fluorine retrograde well includes an indium concentration greater than about 3E18/cm3.
    Type: Grant
    Filed: December 31, 2003
    Date of Patent: October 31, 2006
    Assignee: Intel Corporation
    Inventors: Cory E. Weber, Mark A. Armstrong, Stephen M. Cea, Giuseppe Curello, Sing-Chung Hu, Aaron D. Lilak, Max Wei
  • Patent number: 7122411
    Abstract: A CMOS device formed with a Silicon On Insulator (SOI) technology with reduced Drain Induced Barrier Lowering (DIBL) characteristics and a method for producing the same. The method involves a high energy, high dose implant of boron and phosphorus through the p- and n-wells, into the insulator layer, thereby creating a borophosphosilicate glass (BPSG) structure within the insulation layer underlying the p- and n-wells of the SOI wafer. Backend high temperature processing steps induce diffusion of the boron and phosphorus contained in the BPSG into the p- and n-wells, thereby forming a retrograde dopant profile in the wells. The retrograde dopant profile reduces DIBL and also provides recombination centers adjacent the insulator layer and the active layer to thereby reduce floating body effects for the CMOS device.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: October 17, 2006
    Assignee: Micron Technology, Inc
    Inventor: Chandra V. Mouli
  • Patent number: 7115462
    Abstract: Methods of fabricating negative-channel metal-oxide semiconductor (NMOS) devices and positive-channel metal-oxide semiconductor (PMOS) devices having complementary threshold voltages are described. Elements of lower-threshold voltage NMOS devices are formed at first locations on a substrate. Elements of higher-threshold voltage PMOS devices are formed at second locations on the substrate. Elements of higher-threshold voltage NMOS devices and elements of lower-threshold PMOS devices are formed by adding a same amount of p-type dopant at selected locations chosen from the first and second locations.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: October 3, 2006
    Assignee: Cypress Semiconductor Corp.
    Inventor: Adrian B. Early
  • Patent number: 7115459
    Abstract: Provided is a method of fabricating a silicon germanium (SiGe) Bi-CMOS device. In the fabrication method, the source and drain of the CMOS device is formed using a silicon germanium (SiGe) heterojunction, instead of silicon, thereby preventing a leakage current resulting from a parasitic bipolar operation. Further, since the source and drain is connected with an external interconnection through the nickel (Ni) silicide layer, the contact resistance is reduced, thereby preventing loss of a necessary voltage for a device operation and accordingly, making it possible to enable a low voltage and low power operation and securing a broad operation region even in a low voltage operation of an analogue circuit.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: October 3, 2006
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Hyun Cheol Bae, Seung Yun Lee, Sang Hun Kim, Jin Yeong Kang
  • Patent number: 7101747
    Abstract: Complementary transistors and methods of forming the complementary transistors on a semiconductor assembly are described. The transistors can be formed from a metal silicon compound deficient of silicon bonding atoms on a dielectric material overlying a semiconductor substrate conductively doped for PMOS and NMOS regions. The metal silicon compound overlying the NMOS region is converted to a metal silicon nitride and the metal silicon compound overlying the PMOS region is converted to a metal silicide. NMOS transistor gate electrodes comprising metal silicon nitride and PMOS transistor gate electrodes comprising metal silicide can be formed.
    Type: Grant
    Filed: July 13, 2005
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun J. Hu
  • Patent number: 7101751
    Abstract: The present invention provides a system for limiting degradation of a first semiconductor structure (304) caused by an electric field (314), generated from within the semiconductor substrate (302) by high voltage on a second semiconductor structure (310). A semiconductor device (300) is adapted to reduce the effective magnitude of the field—as realized at structure 304—to some fractional component (320), or to render the angle (322)—at which the field approaches the first structure through a first substrate region (306)—acute. Certain embodiments of the present invention provide for: lateral recession of the first semiconductor structure to abut an isolation structure (312), which is disposed between the second semiconductor structure and the first substrate region; lateral recession of the first semiconductor structure from the isolation structure, so as to form a moat therebetween; and a counter-doped region (316) within the first substrate region.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: September 5, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: PR Chidambaram, Greg C. Baldwin
  • Patent number: 7087478
    Abstract: The invention includes a semiconductor construction having a pair of channel regions that have sub-regions doped with indium and surrounded by boron. A pair of transistor constructions are located over the channel regions and are separated by an isolation region. The transistors have gates that are wider than the underlying sub-regions. The invention also includes a semiconductor construction that has transistor constructions with insulative spacers along gate sidewalls. Each transistor construction is between a pair source/drain regions that extend beneath the spacers. A source/drain extension extends the source/drain region farther beneath the transistor constructions on only one side of each of the transistor constructions. The invention also includes methods of forming semiconductor constructions.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: August 8, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Luan C. Tran
  • Patent number: 7081416
    Abstract: The invention includes methods of forming field effect transistor gates. In one implementation, a series of layers is formed proximate a semiconductive material channel region. The layers comprise a gate dielectric layer and a conductive metal-comprising layer having an ion implanted polysilicon layer received therebetween. Patterned masking material is formed over the series of layers. Using the patterned masking material as a mask, etching is conducted through the conductive metal-comprising layer and only partially into the ion implanted polysilicon layer. After such etching, the ion implanted polysilicon is annealed effective to electrically activate implanted impurity atoms received therein. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: July 25, 2006
    Assignee: Micron Technology, Inc.
    Inventors: John K. Zahurak, David K. Hwang
  • Patent number: 7067362
    Abstract: A method for manufacturing an integrated circuit structure includes providing a semiconductor substrate and forming at least one oxide-nitride-oxide dielectric layer above the semiconductor substrate. At least one implantation is formed into at least one area of the semiconductor substrate beneath the oxide-nitride-oxide dielectric layer subsequent to the formation of the oxide-nitride-oxide dielectric layer.
    Type: Grant
    Filed: October 17, 2003
    Date of Patent: June 27, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Tommy Lai, Pradeep Ramachandramurthy Yelehanka, Jia Zhen Zheng, Weining Li
  • Patent number: 7064019
    Abstract: An asymmetric field effect transistor (FET) that has a threshold voltage that is compatible with current CMOS circuit designs and a low resistive gate electrode is provided. Specifically, the asymmetric FET includes a p-type gate portion and an n-type gate portion on a vertical semiconductor body; an interconnect between the p-type gate portion and the n-type gate portion; and a planarizing structure above the interconnect.
    Type: Grant
    Filed: June 16, 2004
    Date of Patent: June 20, 2006
    Assignee: International Business Machines Corporation
    Inventors: David M. Fried, Edward J. Nowak, Jed H. Rankin
  • Patent number: 7049188
    Abstract: A lateral doped channel. A first doping material is implanted substantially vertically into a region adjacent to a gate structure. A diffusion process diffuses the first doping material into a channel region beneath the gate structure. A second doping material is implanted substantially vertically into the region adjacent to a gate structure. The second implantation forms source/drain regions and may terminate the channel region. The channel region thus comprises a laterally non-uniform doping profile which beneficially mitigates the short channel effect and is highly advantageous as compensation for manufacturing process variations in channel length.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: May 23, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nga-Ching Wong, Timothy Thurgate, Sameer S. Haddad