Having Contacts Formed By Selective Growth Or Deposition Patents (Class 438/399)
  • Patent number: 6902981
    Abstract: A structure and method of fabrication of a capacitor and other devices by providing a semiconductor structure and providing a top insulating layer and conductive features over the semiconductor structure; forming a first conductive layer over the top insulating layer; patterning the first conductive layer to form at least a capacitor bottom plate and a first portion of the first conductive layer; forming a capacitor dielectric layer over the top insulating layer and the capacitor bottom plate and the first portion of the first conductive layer; forming a second conductive layer over the capacitor dielectric layer; and patterning the second conductive layer to form at least a top plate over the bottom plate and a first section of the second conductive layer on the capacitor dielectric layer. The embodiment can further comprise conductive features in the top insulating layer that can underlie the bottom plate, the first portion or/and the first section.
    Type: Grant
    Filed: October 10, 2002
    Date of Patent: June 7, 2005
    Assignee: Chartered Semiconductor Manufacturing LTD
    Inventors: Chit Hwei Ng, Chaw Sing Ho
  • Patent number: 6900106
    Abstract: The invention includes a method of forming a semiconductor construction. A semiconductor substrate is provided, and a conductive node is formed to be supported by the semiconductor substrate. A first conductive material is formed over the conductive node and shaped as a container. The container has an opening extending therein and an upper surface proximate the opening. The container opening is at least partially filled with an insulative material. A second conductive material is formed over the at least partially filled container opening and physically against the upper surface of the container. The invention also includes semiconductor structures.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: May 31, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Garo J. Derderian
  • Patent number: 6893921
    Abstract: In a nonvolatile memory cell, the floating gate (160) has an upward protruding portion. This portion can be formed as a spacer over a sidewall of the select gate (140). The spacer can be formed from a layer (160.2) deposited after the layer (160.1) which provides a lower portion of the floating gate. Alternatively, the upward protruding portion and the lower portion can be formed from the same layers or sub-layers all of which are present in both portions. The control gate (170) can be defined without photolithography. Other embodiments are also provided.
    Type: Grant
    Filed: April 10, 2003
    Date of Patent: May 17, 2005
    Assignee: Mosel Vitelic, Inc.
    Inventor: Yi Ding
  • Patent number: 6893912
    Abstract: A ferroelectic capacitor memory device is fabricated by a forming a substrate including integrated circuitry with an interconnect layer and pass transistors. First capacitor electrodes, contacts and pads are simultaneously formed on the substrate and are connected to an associated pass transistor through the interconnect layer. A ferroelectic dielectric layer, formed on the first capacitor electrodes, is patterned to expose portions of one of the contacts and one of the pads to form a contact opening and a pad region. A second capacitor electrode is formed over the patterned ferroelectric layer to create a via within said contact opening, the via extending to one of the contacts. A conductive layer is formed upon the second capacitor electrode. The conductive layer is patterned to form a plate line, the via connecting one of the contacts to the plate line.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: May 17, 2005
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 6893963
    Abstract: A method for forming a titanium nitride layer. The method includes the steps of exposing a semiconductor substrate to a reactive gas containing TiCl4 and NH3 for a first deposition to form a layer of titanium nitride on the substrate, at reaction pressure less than 1 torr and temperature less than 500° C.; placing the semiconductor substrate in NH3 gas for a first annealing step, at pressure between 1 and 3 torr; exposing the semiconductor substrate to a reactive gas comprising TiCl4 and NH3 for a second deposition, at pressure exceeding 5 torr and temperature exceeding 500° C.; and subjecting the semiconductor substrate to a second annealing step in NH3 gas, at pressure exceeding 5 torr.
    Type: Grant
    Filed: April 4, 2003
    Date of Patent: May 17, 2005
    Assignee: Powerchip Semiconductor Corp.
    Inventor: Ching-Hua Chen
  • Patent number: 6890817
    Abstract: A semiconductor device and a method of manufacturing thereof can be gained wherein the occurrence of defects can be prevented and it is possible to reduce the manufacturing cost. The semiconductor device includes a capacitor electrode, an insulating layer and a wiring layer. The capacitor electrode is formed on the semiconductor substrate. The insulating film which is formed on the capacitor electrode has a trench which exposes part of the capacitor electrode and has an upper surface. The wiring layer fills in the inside of the trench, has an upper surface and is connected with the capacitor electrode. The upper surface of the wiring layer is located on approximately the same plane as the upper surface of the insulating film.
    Type: Grant
    Filed: August 5, 2003
    Date of Patent: May 10, 2005
    Assignees: Renesas Technology Corp., Matsushita Electric Industrial Co., Ltd.
    Inventors: Hiroshi Maeda, Toshiyuki Oashi, Takashi Uehara
  • Patent number: 6888189
    Abstract: A dielectric element capable of effectively suppressing diffusion of oxygen into a region located under a lower electrode in heat treatment for sintering an oxide-based dielectric film is obtained. This dielectric element comprises a lower electrode including a first conductor film having a function of suppressing diffusion of oxygen, a first dielectric film, formed on the lower electrode, including an oxide-based dielectric film, and a first insulator film, arranged on a region other than the lower electrode, having a function of suppressing diffusion of oxygen. Thus, the first conductor film and the first insulator film function as barrier films preventing diffusion of oxygen, whereby the first conductor film effectively prevents oxygen from diffusing downward along grain boundaries of the lower electrode while the first insulator film effectively prevents oxygen from diffusing downward from the region other than the lower electrode in heat treatment for sintering the oxide-based dielectric film.
    Type: Grant
    Filed: September 21, 2001
    Date of Patent: May 3, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Shigeharu Matsushita, Kazunari Honma
  • Patent number: 6884692
    Abstract: Method and structure use support layers to assist in, for example, planarization processes to form conductive materials (e.g., a Group VIII metal) in an opening. Further, for example, such method and structure may use a Group VIII metal as an etch stop or end point for the planarization process with subsequent etching to remove undesired portions of the Group VIII metal.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: April 26, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Howard E. Rhodes, Richard H. Lane
  • Patent number: 6872647
    Abstract: A method of forming multiple fins in a semiconductor device includes forming a structure having an upper surface and side surfaces on the semiconductor device. The semiconductor device includes a conductive layer located below the structure. The method also includes forming spacers adjacent the structure and selectively etching the spacers and the conductive layer to form the fins. The fins may be used in a FinFET device.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: March 29, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Judy Xilin An, Cyrus E. Tabery
  • Patent number: 6869872
    Abstract: The present invention discloses a semiconductor memory device having a bit line and a metal contact stud, wherein the metal contact stud is formed on a different layer from a layer on which the bit lines are formed.
    Type: Grant
    Filed: August 6, 2003
    Date of Patent: March 22, 2005
    Assignee: Samsung Electronics., Co., Ltd.
    Inventor: Chunsuk Suh
  • Patent number: 6867096
    Abstract: Methods are provided for fabricating semiconductor devices having capacitors, which prevent lower electrodes of the capacitors from breaking or collapsing and which provide increased capacitance of the capacitors. For instance, a method includes forming a first insulating layer on a semiconductor substrate, forming a first hole in the first insulating layer, forming a contact plug in the first hole, forming a second insulating layer having a landing pad, wherein the landing pad contacts an upper surface of the contact plug, forming an etch stop layer on the landing pad and the second insulating layer, forming a third insulating layer on the etch stop layer; forming a third hole through the third insulating layer and etch stop layer to expose the landing pad, selectively etching the exposed landing pad, forming a lower electrode on the selectively etched landing pad, and then forming a capacitor by forming a dielectric layer and an upper electrode on the lower electrode.
    Type: Grant
    Filed: May 27, 2004
    Date of Patent: March 15, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-IL Cho, Seung-Young Son, Chang-Jin Kang, Kyeong-Koo Chi, Ji-Chul Shin
  • Patent number: 6867092
    Abstract: A memory cell of a DRAM is reduced in size by making the width of a bit line finer than the minimum size determined by the limit of resolution of a photolithography. The bit line is made fine by forming a silicon oxide film on the inside wall of a wiring trench formed in a silicon oxide film and by forming the bit line inside the silicon oxide film. The silicon oxide film formed in the trench is deposited so that the silicon oxide film has a thickness thinner than half the width of the wiring trench and in the fine gap inside the silicon oxide film is buried a metal film to be the material of the bit line.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: March 15, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Hiroyuki Uchiyama, Atsushi Ogishima, Shoji Shukuri
  • Patent number: 6864147
    Abstract: A solid electrolytic capacitor that comprises an anode that contains a valve-action metal (e.g., tantalum, niobium, and the like) and a dielectric film overlying the anode is provided. The capacitor also comprises a protective coating overlying the dielectric film, wherein the protective coating contains a relatively insulative, resinous material. For example, in one embodiment, the resinous material can be a drying oil, such as olive oil, linseed oil, tung oil, castor oil, soybean oil, shellac, and derivatives thereof. The capacitor also comprises a conductive polymer coating overlying the protective coating. As a result of the present invention, it has been discovered that a capacitor can be formed that can have a relatively low leakage current, dissipation factor, and equivalents series resistance.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: March 8, 2005
    Assignee: AVX Corporation
    Inventors: James A. Fife, Zebbie L. Sebald
  • Patent number: 6861313
    Abstract: A semiconductor memory device includes a silicon substrate with a gate and contact pads at both sides of the gate, an inter-insulation layer formed on the substrate, including a storage node contact and a bit-line contact exposing a corresponding contact pad, and including a groove-shaped bit-line pattern, a storage node contact plug formed in the storage node contact, and a damascene bit line formed within the bit-line pattern and connected with the exposed corresponding contact pad through the bit-line contact.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: March 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Du-Heon Song
  • Patent number: 6858492
    Abstract: Capacitor devices are formed in an essentially vertically extending fashion in order to achieve an essentially three-dimensional configuration or a configuration extending into the third dimension. A contacting of plug regions is performed after producing the capacitor devices. Such capacitor devices provide an increased integration density in a semiconductor memory device.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: February 22, 2005
    Assignee: Infineon Technologies AG
    Inventors: Rainer Bruchhaus, Gerhard Enders, Walter Hartner, Matthias Krönke, Thomas Mikolajick, Nicolas Nagel, Michael Röhner
  • Patent number: 6852549
    Abstract: The present invention relates to a method for manufacturing a ferroelectric field-effect transistor, particularly to a ferroelectric field-effect transistor with a metal/ferroelectric/insulator/semiconductor (MFIS) gate capacitor structure. The method comprises steps of depositing a bismuth layered ferroelectric film on the insulator buffered Si, after a high-temperature thermal treatment, depositing an upper electrode on the bismuth layered ferroelectric film.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: February 8, 2005
    Assignee: National Chiao Tung University
    Inventors: San-Yuan Chen, Chia-Liang Sun, Albert Chin
  • Patent number: 6852592
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of first plugs contacted to a substrate by passing through a first inter-layer insulation layer; forming a second inter-layer insulation layer on the first plugs; forming a conductive pattern contacted to a group of the first plugs by etching selectively the second inter-layer insulation layer; and forming a contact hole exposing a surface of the first plug that is not contacted to the conductive pattern by etching selectively the second insulation layer with use of a dry-type and wet-type etch process, wherein an attack barrier layer is formed on between the first inter-layer insulation layer and the second inter-layer insulation layer to thereby prevent an incidence of attack to the first interlayer insulation layer contacted to the first plug during the wet-type etch process for forming the contact hole.
    Type: Grant
    Filed: June 2, 2003
    Date of Patent: February 8, 2005
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Sung-Kwon Lee, Min-Suk Lee, Sang-Ik Kim
  • Patent number: 6849894
    Abstract: On a semiconductor substrate, a transistor and a capacitor electrically connected to the transistor are formed, the capacitor having two electrodes made of metal and a capacitor dielectric layer between the two electrodes made of oxide dielectric material. A temporary protective film is formed over the capacitor, the temporary protective film covering the capacitor. The semiconductor substrate with the temporary protective film is subjected to a heat treatment in a reducing atmosphere. The temporary protective film is removed. The semiconductor substrate with the temporary protective film removed is subjected to a heat treatment in an inert gas atmosphere or in a vacuum state. A protective film is formed over the capacitor, the protective film covering the capacitor. With these processes, leak current of the capacitor can be reduced.
    Type: Grant
    Filed: December 12, 2002
    Date of Patent: February 1, 2005
    Assignees: Fujitsu Limited, Kabushiki Kaisha Toshiba
    Inventors: Jun Lin, Toshiya Suzuki, Katsuhiko Hieda
  • Patent number: 6849890
    Abstract: A semiconductor device comprises a semiconductor substrate having first conductivity type, a trench capacitor, provided in the substrate, having a charge accumulation region, a gate electrode provided on the substrate via a gate insulating film, a gate side wall insulating film provided on a side surface of the gate electrode, drain and source regions, provided in the substrate, having a second conductivity type, an isolation insulating film provided adjacent to the trench capacitor in the substrate to cover an upper surface of the charge accumulation region, a buried strap region having the second conductivity type, the buried strap region being provided to electrically connect an upper portion of the charge accumulation region to the source region in the substrate, and a pocket implantation region having the first conductivity type, the pocket implantation region being provided below the drain and source regions and being spaced apart from the strap region.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: February 1, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Koichi Kokubun
  • Patent number: 6847073
    Abstract: A semiconductor device includes a MOS transistor, an interlayer insulating film, a contact plug, a capacitor lower electrode, a ferroelectric film and two capacitor upper electrodes. The MOS transistor is formed on a semiconductor substrate. The interlayer insulating film covers the MOS transistor. The contact plug is connected to an impurity diffusion layer of the MOS transistor. The capacitor lower electrode is formed on the contact plug. The two capacitor upper electrodes are formed on the capacitor lower electrode with the ferroelectric film interposed therebetween. A contact area between the contact plug and the capacitor lower electrode is greater than a contact area between each of the two capacitor upper electrodes and the ferroelectric film. At least a part of a gate electrode of the MOS transistor is located just below a region of the contact plug, which region is in contact with the capacitor lower electrode.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: January 25, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroyuki Kanaya
  • Patent number: 6841442
    Abstract: Disclosed is a method for forming a metal contact of a semiconductor device. The method includes the steps of preparing a substrate formed with a tungsten bit line, forming an insulating interlayer on an entire surface of the substrate, forming a contact hole expositing the tungsten bit line, depositing a first tungsten layer on the insulating interlayer through an IMP process, depositing a second tungsten layer on the first tungsten layer through a CMP process, and performing an etch back process with respect to the second tungsten layer. After depositing the first tungsten layer through the IMP process, the second tungsten layer is deposited trough the CVD process without forming the barrier metal. Thus, contact filling failure is prevented when CVD tungsten is deposited, thereby preventing metal contact failure while improving reliability and a yield rate of the semiconductor devices.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: January 11, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sung Gon Jin
  • Patent number: 6841438
    Abstract: A memory structure having a vertically oriented access transistor with an annular gate region and a method for fabricating the structure. More specifically, a transistor is fabricated such that the channel of the transistor extends outward with respect to the surface of the substrate. An annular gate is fabricated around the vertical channel such that it partially or completely surrounds the channel. A buried annular bitline may also be implemented. After the vertically oriented transistor is fabricated with the annular gate, a storage device may be fabricated over the transistor to provide a memory cell.
    Type: Grant
    Filed: August 29, 2003
    Date of Patent: January 11, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Lucien J. Bissey, Kevin G. Duesman
  • Publication number: 20040262657
    Abstract: The present invention relates to selectively electrically connecting an electrical interconnect line, such as a bit line of a memory cell, with an associated contact stud and electrically isolating the interconnect line from other partially underlying contact studs for other electrical features, such as capacitor bottom electrodes. The interconnect line can be formed as initially partially-connected to all contact studs, thereby allowing the electrical features to be formed in closer proximity to one another for higher levels of integration. In subsequent steps of fabrication, the contact studs associated with memory cell features other than the interconnect line can be isolated from the interconnect line by the removal of a silicide cap, or the selective etching of a portion of these contact studs, and the formation of an insulating sidewall between the non-selected contact stud and the interconnect line.
    Type: Application
    Filed: June 9, 2004
    Publication date: December 30, 2004
    Inventor: John M. Drynan
  • Publication number: 20040262663
    Abstract: On a semiconductor substrate, a transistor and a capacitor electrically connected to the transistor are formed, the capacitor having two electrodes made of metal and a capacitor dielectric layer between the two electrodes made of oxide dielectric material. A temporary protective film is formed over the capacitor, the temporary protective film covering the capacitor. The semiconductor substrate with the temporary protective film is subjected to a heat treatment in a reducing atmosphere. The temporary protective film is removed. The semiconductor substrate with the temporary protective film removed is subjected to a heat treatment in an inert gas atmosphere or in a vacuum state. A protective film is formed over the capacitor, the protective film covering the capacitor. With these processes, leak current of the capacitor can be reduced.
    Type: Application
    Filed: May 14, 2004
    Publication date: December 30, 2004
    Applicants: Fujitsu Limited, Kabushiki Kaisha Toshiba
    Inventors: Jun Lin, Toshiya Suzuki, Katsuhiko Hieda
  • Publication number: 20040256650
    Abstract: A semiconductor memory device provided with a memory cell region having first gate electrodes and a peripheral circuit region having second gate electrodes includes first gate electrodes arranged a first distance apart from each other on a semiconductor substrate, second gate electrodes arranged a second distance, which is larger than the first distance, apart from each other on the semiconductor substrate, first diffusion layers formed in the semiconductor substrate, the first diffusion layers sandwiching the first gate electrodes, second diffusion layers formed in the semiconductor substrate, the second diffusion layers sandwiching the second gate electrodes, a first insulating film formed on the first diffusion layer, second insulating films formed on the side surfaces of the second gate electrodes, first silicide films formed on the first gate electrodes, second silicide films formed on the second gate electrodes, and third silicide films formed on the second diffusion layers.
    Type: Application
    Filed: July 15, 2004
    Publication date: December 23, 2004
    Inventors: Masayuki Ichige, Kikuko Sugimae, Riichiro Shirota
  • Patent number: 6833300
    Abstract: Contacts are formed to integrated circuit devices by first forming a conductive layer (80) on a semiconductor device. An optional dielectric layer (130) is formed over the conductive layer and a carbon containing dielectric layer (140) is formed over the optional dielectric layer (130). Contacts are formed to the conductive layer (80) by etching openings in the carbon containing dielectric layer (140) and the optional dielectric layer (130).
    Type: Grant
    Filed: January 24, 2003
    Date of Patent: December 21, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Leland S. Swanson, Gregory E. Howard
  • Patent number: 6830971
    Abstract: A process of fabricating high dielectric constant MIM capacitors. The high dielectric constant MIM capacitors are for both RF and analog circuit applications. For the high dielectric constant MIM capacitors, the metal is comprised of copper electrodes in a dual damascene process. The dielectric constant versus the total thickness of super lattices is controlled by the number of artificial layers. Dielectric constants near 900 can be achieved for 250 Angstrom thick super lattices. MBE, molecular beam epitaxy or ALCVD, atomic layer CVD techniques are employed for the layer growth processes.
    Type: Grant
    Filed: November 2, 2002
    Date of Patent: December 14, 2004
    Assignee: Chartered Semiconductor Manufacturing LTD
    Inventors: Subramanian Balakumar, Chew Hoe Ang, Jia Zhen Zheng, Paul Proctor
  • Patent number: 6830967
    Abstract: According to an exemplary method in one embodiment, a transistor gate is fabricated on a substrate. Next, an etch stop layer may be deposited on the substrate. The etch stop layer may, for example, be TEOS silicon dioxide. Thereafter, a conformal layer is deposited over the substrate and the transistor gate. The conformal layer may, for example, be silicon nitride. An opening is then etched in the conformal layer. Next, a base layer is deposited on the conformal layer and in the opening. The base layer may, for example, be silicon-germanium. According to this exemplary embodiment, an emitter may be formed on the base layer in the opening. Next, the base layer is removed from the conformal layer. The conformal layer is then etched back to form a spacer adjacent to the transistor gate. In one embodiment, a structure is fabricated according to the above described exemplary method.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: December 14, 2004
    Assignee: Newport Fab, LLC
    Inventors: Kevin Q. Yin, Amol M. Kalburge, Klaus F. Schuegraf
  • Publication number: 20040248361
    Abstract: Methods of forming metal-insulator-metal type capacitors in integrated circuit memory devices can include crystallizing an HfO2 dielectric layer on a lower electrode of a capacitor structure in a low temperature plasma treatment at a temperature in range between about 250 degrees Centigrade and about 450 degrees Centigrade. An upper electrode can be formed on the HfO2 dielectric layer.
    Type: Application
    Filed: April 22, 2004
    Publication date: December 9, 2004
    Inventors: Se-hoon Oh, Jung-hee Chung, Jae-hyoung Choi, Jeong-sik Choi, Sung-tae Kim, Cha-young Yoo
  • Patent number: 6828188
    Abstract: A semiconductor device manufacturing process for forming a semiconductor device having a high density region and a low density region of transistor elements, includes forming a gate oxide film and gate electrodes on a semiconductor substrate surface. Then, a first nitride film is uniformly formed on the gate electrodes, and only the low-density region of the semiconductor device is etched. Then, a second nitride film is uniformly formed, and then an interlayer insulating film is formed. The high-density region is self-aligned using the first nitride film as an etch stopper to form contact holes in the interlayer insulating film, and contact electrodes are formed In the contact holes. The assembly is then annealed by a forming gas to recover an interfacial layer.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: December 7, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Toshiyuki Hirota, Natsuki Sato
  • Publication number: 20040241940
    Abstract: A method for fabricating a semiconductor device is disclosed. A spacer is formed on the sidewall of the contact hole in which a storage node contact plug is buried. An etch barrier film and an insulating film are sequentially formed after the formation of the storage node contact plug. The insulating film and the etch barrier film are sequentially etched to form an opening part. Then a storage node is formed within the opening part which has been formed by an etching. Then prominences are formed on the surface of the storage node.
    Type: Application
    Filed: July 8, 2004
    Publication date: December 2, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventors: Kee-Jeung Lee, Byung-Seop Hong
  • Publication number: 20040227175
    Abstract: A lower electrode of a capacitor element is formed by manufacturing a crown structure while using a first conducting material such as titanium nitride or the like excellent in mechanical strength as a base material and by forming a film of a second conducting material such as ruthenium or the like, which is comparatively difficult to be oxidized, on a surface of the crown structure. First, ruthenium is deposited on a surface of the crown structure by using a sputtering method. Thereafter, the ruthenium (sputtered ruthenium) placed in a peripheral region of the crown structure is removed by etching, and a film of ruthenium is further formed on a surface of the crown structure by using a CVD method while using the sputtered ruthenium as a seed layer.
    Type: Application
    Filed: March 16, 2004
    Publication date: November 18, 2004
    Inventors: Shinpei Iijima, Keiji Kuroki
  • Patent number: 6818503
    Abstract: A method of fabricating semiconductor memory devices is simplified by providing at least some plug regions, which are provided for contacting storage capacitor devices of a capacitor configuration, such that the plug regions have in each case a region that is elevated above the surface region of a passivation region.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: November 16, 2004
    Assignee: Infineon Technologies AG
    Inventors: Rainer Bruchhaus, Gerhard Enders, Walter Hartner, Igor Kasko, Matthias Krönke, Thomas Mikolajick, Nicolas Nagel, Michael Röhner, Volker Weinrich
  • Patent number: 6818522
    Abstract: Methods for forming capacitors of semiconductor devices are disclosed, and more particularly, methods for forming capacitors which comprises a contact plug, a diffusion barrier film, a lower electrode formed of ruthenium, a dielectric film formed of high dielectric constant material and an upper electrode are disclosed, wherein the diffusion barrier film having strong chemical bond, amorphous structure without rapid diffusion path of oxygen and low electrical resistance prevents diffusion of oxygen atoms during a deposition process and thermal treatment of the dielectric film to improve operation of the capacitor and reliability of the device.
    Type: Grant
    Filed: December 10, 2002
    Date of Patent: November 16, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventor: Dong Soo Yoon
  • Publication number: 20040224475
    Abstract: Methods of fabricating a semiconductor device are provided in which a storage node contact plug is formed on a semiconductor substrate. A ruthenium seed layer is then formed via atomic layer deposition on the storage node contact plug, and a main ruthenium layer is formed on the ruthenium seed layer. The main ruthenium layer and the ruthenium seed layer are patterned to form a lower electrode, and a dielectric layer is formed on the lower electrode. Finally, an upper electrode is formed on the dielectric layer. The upper electrode may be formed by forming a second ruthenium seed layer using atomic layer deposition on the dielectric layer and forming a second main ruthenium layer on the second ruthenium seed layer. The main ruthenium layer and/or the second main ruthenium layer may be formed via chemical vapor deposition.
    Type: Application
    Filed: March 16, 2004
    Publication date: November 11, 2004
    Inventors: Kwang-hee Lee, Cha-young Yoo, Han-jin Lim, Jin-il Lee, Suk-jin Chung
  • Patent number: 6808984
    Abstract: A method for forming a contact opening is provided. After forming transistors on a substrate, a stacked resist layer including a resist layer without a silicon element and a resist layer with a silicon element covers the transistors and the substrate. The stacked resist layer is defined to cover a region of a contact opening to be formed as a mask. A selective growth process, such as a liquid phase oxide deposition (LPOD), is carried out to form a selective silicon oxide layer on the silicon-containing surface and fills the space between the stacked resist layer. After the stacked resist layer is removed, a contact opening is formed in the silicon oxide layer and a step of the etching process is eliminated.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: October 26, 2004
    Assignee: Nanaya Technology Corporation
    Inventor: Meng-Hung Chen
  • Patent number: 6800515
    Abstract: A method for manufacturing DRAM cells in a semiconductor wafer including MOS control transistors and capacitors, the source/drain regions and the gates of the control transistors being covered with a protection layer and with an insulating layer, in which the capacitors are formed at the level of openings formed in the insulating layer which extend to the protection layer covering the gates, and in which first capacitor electrodes are connected to source/drain regions of the control transistors by conductive vias crossing the insulating layer and the protection layer.
    Type: Grant
    Filed: November 26, 2002
    Date of Patent: October 5, 2004
    Assignee: STMicroelectronics S.A.
    Inventor: Marc Piazza
  • Patent number: 6798006
    Abstract: A semiconductor device includes a diffusion region in a semiconductor substrate, a gate insulation film on the semiconductor substrate, a gate electrode on the gate insulation film, an interlayer insulation film on the semiconductor substrate covering the gate electrode, and a capacitor on the interlayer insulation film. The capacitor includes a laminated structure made up of a lower electrode, a dielectric film, and an upper electrode. The diffusion region, the gate electrode, and the lower electrode are connected to one another by a common contact in the interlayer insulation film.
    Type: Grant
    Filed: June 6, 2003
    Date of Patent: September 28, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Atsushi Amo, Atsushi Hachisuka, Tatsuo Kasaoka
  • Patent number: 6791135
    Abstract: A semiconductor device includes: a digital circuit including a first capacitive element of metal-insulator-metal structure, and an analogue circuit including a second capacitive element of metal-insulator-metal structure. Bottom electrodes, capacitive insulation layers, and top electrodes of the first and second capacitive elements are formed in the same or common processes to each other. The bottom electrodes are electrically connected with contacts in an underlying inter-layer insulator. The top electrodes are electrically connected with other contacts in an overlying inter-layer insulator.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: September 14, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Motohiro Takenaka
  • Patent number: 6781179
    Abstract: The semiconductor memory device of the present invention includes: an interlayer insulating film formed on a semiconductor substrate; a contact plug formed to extend through the interlayer insulating film; and a capacitor formed on the interlayer insulating film so that an electrode of the capacitor is connected with the contact plug. The electrode has an iridium oxide film as an oxygen barrier film. The average grain size of granular crystals constituting the iridium oxide film is a half or less of the thickness of the iridium oxide film.
    Type: Grant
    Filed: May 23, 2002
    Date of Patent: August 24, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toru Nasu, Yoshihisa Nagano
  • Patent number: 6773981
    Abstract: Capacitors and methods of forming capacitors are disclosed. In one implementation, a capacitor comprises a capacitor dielectric layer comprising Ta2O5 formed over a first capacitor electrode. A second capacitor electrode is formed over the Ta2O5 capacitor dielectric layer. Preferably, at least a portion of the second capacitor electrode is formed over and in contact with the Ta2O5 in an oxygen containing environment at a temperature of at least about 175° C. Chemical vapor deposition is one example forming method. The preferred second capacitor electrode comprises a conductive metal oxide. A more preferred second capacitor electrode comprises a conductive silicon comprising layer, over a conductive titanium comprising layer, over a conductive metal oxide layer. A preferred first capacitor electrode comprises a conductively doped Si—Ge alloy. Preferably, a Si3N4 layer is formed over the first capacitor electrode. DRAM cells and methods of forming DRAM cells are disclosed.
    Type: Grant
    Filed: August 2, 2000
    Date of Patent: August 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Husam N. Al-Shareef, Scott Jeffrey DeBoer, F. Daniel Gealy, Randhir P. S. Thakur
  • Publication number: 20040150030
    Abstract: There is described a semiconductor device having a storage node capacitor structure suitable for rendering memory cells compact, and storage nodes are prevented from tilting. The device includes a storage node which has a vertical surface extending in the direction perpendicular to the surface of a semiconductor substrate, and a dielectric film for tilt prevention purposes which is brought into close contact with the side surface of the vertical surface and which prevents the vertical surface from tilting.
    Type: Application
    Filed: December 31, 2003
    Publication date: August 5, 2004
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventors: Hiroaki Nishimura, Tomoharu Mametani, Yukihiro Nagai, Akinori Kinugasa, Takeshi Kishida
  • Publication number: 20040147089
    Abstract: A method is described for fabricating an encapsulated metal structure in a feature formed in a substrate. The sidewalls and bottom of the feature are covered by a barrier layer and the feature is filled with metal, preferably by electroplating. A recess is formed in the metal, and an additional barrier layer is deposited, covering the top surface of the metal and contacting the first barrier layer. The additional barrier layer is planarized, preferably by chemical-mechanical polishing. The method may be used in fabricating a MIM capacitor, with the encapsulated metal structure serving as the lower plate of the capacitor. A second substrate layer is deposited on the top surface of the substrate, with an opening overlying the encapsulated metal structure. A dielectric layer is deposited in the opening, covering the encapsulated metal structure at the bottom thereof. An additional layer, serving as the upper plate of the capacitor, is deposited to cover the dielectric layer and to fill the opening.
    Type: Application
    Filed: January 14, 2004
    Publication date: July 29, 2004
    Inventors: Kevin S. Petrarca, Donald Canaperi, Mahadevaiyer Krishnan, Kenneth Jay Stein, Richard P. Volant
  • Publication number: 20040142529
    Abstract: According to one example method of fabricating a semiconductor memory device, an isolation layer and a capping layer are formed on a silicon substrate, sequentially. By an epitaxial silicon growth process, an epitaxial active region is formed. A gate insulation layer and a gate electrode are then formed on the epitaxial active region, sequentially. Subsequently, a bit line contact plug and a storage node contact plug are epitaxially formed on the epitaxial active region. A lower interlayer insulation layer is formed on the resultant structure and planarized. An upper interlayer insulation layer is formed on the lower interlayer insulation layer and a bit line is formed therein. An additional upper interlayer insulation layer is then formed on the entire surface of the resultant structure and a storage node electrode is formed through the additional upper and the upper interlayer insulation layer to be connected to the storage node contact.
    Type: Application
    Filed: December 26, 2003
    Publication date: July 22, 2004
    Inventor: Cheolsoo Park
  • Patent number: 6764915
    Abstract: A metal-insulator metal (MIM) capacitor structure has a copper layer within a dielectric layer positioned on a substrate, an alloy layer atop the copper layer, a metal oxide layer atop the alloy layer and a top pad layer atop the metal oxide layer.
    Type: Grant
    Filed: November 28, 2002
    Date of Patent: July 20, 2004
    Assignee: United Microelectronics Corp.
    Inventor: Chiu-Te Lee
  • Patent number: 6759304
    Abstract: The invention relates to a DRAM integration method that does away with the alignment margins inherent to the photoetching step of the upper electrode of the capacitance for inserting the bit line contact. The removal of the upper electrode is self-aligned on the lower electrode of the capacitance. This is accomplished by forming a difference in topography at the point where the opening of the upper electrode is to be made, and depositing a non-doped polysilicon layer on the upper electrode. An implantation of dopants is performed on this layer, and the part of the non-doped layer located in the lower part of the zone showing the difference in topography is selectively etched. The remainder of the polysilicon layer and the part of the upper electrode located in the lower layer are also etched.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: July 6, 2004
    Assignee: STMicroelectronics SA
    Inventors: Philippe Coronel, Marc Piazza, François Leverd
  • Patent number: 6759305
    Abstract: A method for increasing the capacity of an integrated circuit device. The method includes the steps of defining a catalyst area on a substrate, forming a nanotube, nanowire, or nanobelt on the catalyst area, forming a first dielectric layer on the nanotube, nanowire, or nanobelt and the substrate, and forming an electrode layer on the first dielectric layer. According to above method, the capacity is substantially increased without extending the original bottom area of the capacitor electrode by using the surface area of the nanotube, nanowire, or nanobelt as the area of the capacitor electrode.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: July 6, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Chun-Tao Lee, Cheng-Chung Lee, Bing-Yue Tsui
  • Patent number: 6756283
    Abstract: A honeycomb/webbed, high surface area capacitor formed by etching a storage poly using an etch mask having a plurality of micro vias. The etch mask is preferably formed by applying an HSG polysilicon layer on a surface of the storage poly with a mask layer being deposited over the HSG polysilicon layer. An upper portion of the mask layer is removed to expose the uppermost portions of the HSG polysilicon layer and the exposed HSG polysilicon layer portions are then etched, which translates the pattern of the exposed HSG polysilicon layer portions into the storage poly. The capacitor is completed by depositing a dielectric material layer over the storage poly layer and depositing a cell poly layer over the dielectric material layer.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: James E. Green, Darwin A. Clampitt
  • Patent number: 6753219
    Abstract: A DRAM has, in one embodiment, a plurality of word lines each having its upper and side surfaces covered with a first insulating film, a plurality of bit lines each being provided so as to be insulated from and transverse to the word lines and being covered with a second insulating film, and a plurality of memory cells each provided at an intersection between one word line and one bit line and including a capacitor and a memory cell selection transistor, in which contact holes for connection between semiconductor regions and capacitors and between semiconductor regions and bit lines are formed in self-alignment and the second insulating film is made of a material having a permittivity smaller than that of the first insulating film.
    Type: Grant
    Filed: August 23, 2002
    Date of Patent: June 22, 2004
    Assignees: Hitachi, Ltd., Texas Instruments, Inc.
    Inventors: Toshihiro Sekiguchi, Yoshitaka Tadaki, Keizo Kawakita, Hideo Aoki, Toshikazu Kumai, Kazuhiko Saito, Michio Nishimura, Michio Tanaka, Katsuo Yuhara, Shinya Nishio, Toshiyuki Kaeriyama, Songsu Cho
  • Publication number: 20040108536
    Abstract: A semiconductor device having MIM capacitors is configured so that the bottom surface of the lower electrode and a top surface area of an oxidation barrier pattern are substantially equal. Related methods for forming the device are also described.
    Type: Application
    Filed: August 28, 2003
    Publication date: June 10, 2004
    Inventors: Sung-Yung Lee, Nak-Won Jang, Heung-Jin Joo