Nonoxidized Portions Remaining In Groove After Oxidation Patents (Class 438/432)
  • Patent number: 11764105
    Abstract: A semiconductor device structure includes a silicon-on-insulator (SOI) region. The SOI region includes a semiconductor substrate, a buried oxide layer disposed over the semiconductor substrate, and a silicon layer disposed over the buried oxide layer. The semiconductor device structure also includes a first shallow trench isolation (STI) structure penetrating through the silicon layer and the buried oxide layer and extending into the semiconductor substrate. The first STI structure includes a first liner contacting the semiconductor substrate and the silicon layer, a second liner covering the first liner and contacting the buried oxide layer, and a third liner covering the second liner. The first liner, the second liner and the third liner are made of different materials. The first STI structure also includes a first trench filling layer disposed over the third liner and separated from the second liner by the third liner.
    Type: Grant
    Filed: May 18, 2022
    Date of Patent: September 19, 2023
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Chia-Hsiang Hsu
  • Patent number: 9099309
    Abstract: Disclosed are methods and resulting structures which provide an opening for epitaxial growth, the opening having an associated projection for reducing the size of the contact area on a substrate at which growth begins. During growth, the epitaxial material grows vertically from the contact area and laterally over the projection. The projection provides a stress relaxation region for the lateral growth to reduce dislocation and stacking faults at the side edges of the grown epitaxial material.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: August 4, 2015
    Assignee: MICRON TECHNOLOGY, INC.
    Inventors: Song Guo, Yushi Hu, Roy Meade, Sanh D. Tang, Michael P. Violette, David H. Wells
  • Publication number: 20150140780
    Abstract: A method for fabricating shallow trench isolation structure is disclosed. The method includes the steps of: (a) providing a substrate; (b) forming a trench in the substrate; (c) forming a silicon layer in the trench; and (d) performing an oxidation process to partially transform a surface of the silicon layer into an oxide layer.
    Type: Application
    Filed: November 21, 2013
    Publication date: May 21, 2015
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Keng-Jen Lin, Yu-Ren Wang, Chien-Liang Lin, Tsuo-Wen Lu, Wei-Jen Chen, Chih-Chung Chen
  • Patent number: 8987113
    Abstract: A device includes an image sensing element. The device also includes a Silicon Dioxide (SiO2) layer, located over the image sensing element, exhibiting a first index of refraction. The device further includes a first lens, located over the SiO2 layer, exhibiting a second index of refraction greater than the first index of refraction. The device still further includes a color filter located over the first lens and a second lens located over the color filter.
    Type: Grant
    Filed: November 22, 2013
    Date of Patent: March 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tzu-Hsuan Hsu, Dun-Nian Yaung, Yean-Kuen Fang
  • Patent number: 8921204
    Abstract: A method for fabricating semiconductor dice includes the steps of providing a wafer assembly having a substrate and semiconductor structures on the substrate; and defining the semiconductor dice on the substrate. The method also includes the step of separating the substrate from the semiconductor structures by applying a first laser pulse to each semiconductor die on the substrate having first parameters selected to break an interface between the substrate and the semiconductor structures and then applying a second laser pulse to each semiconductor die on the substrate having second parameters selected to complete separation of the substrate from the semiconductor structures. The method can also include the steps of forming one or more intermediate structures between the semiconductor dice on the substrate configured to protect the semiconductor dice during the separating step.
    Type: Grant
    Filed: July 8, 2013
    Date of Patent: December 30, 2014
    Assignee: SemiLEDS Optoelectronics Co., Ltd.
    Inventors: Chen-Fu Chu, Hao-Chung Cheng, Trung Tri Doan, Feng-Hsu Fan
  • Patent number: 8884391
    Abstract: One of disclosed embodiments provides a photoelectric conversion device, comprising a member including a first surface configured to receive light, and a second surface opposite to the first surface, and a plurality of photoelectric conversion portions aligned inside the member in a depth direction from the first surface, wherein at least one of the plurality of photoelectric conversion portions other than the photoelectric conversion portion positioned closest to the first surface includes, on a boundary surface thereof with the member, unevenness having a difference in level larger than a difference in level of unevenness of the photoelectric conversion portion positioned closest to the first surface, and wherein the boundary surface having the unevenness is configured to localize or resonate light incident on the member from a side of the first surface around the boundary surface having the unevenness.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: November 11, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tetsuya Fudaba, Masatsugu Itahashi, Masahiro Kobayashi, Hideo Kobayashi
  • Patent number: 8652925
    Abstract: A structure and method is provided for fabricating isolated capacitors. The method includes simultaneously forming a plurality of deep trenches and one or more isolation trenches surrounding a group or array of the plurality of deep trenches through a SOI and doped poly layer, to an underlying insulator layer. The method further includes lining the plurality of deep trenches and one or more isolation trenches with an insulator material. The method further includes filling the plurality of deep trenches and one or more isolation trenches with a conductive material on the insulator material. The deep trenches form deep trench capacitors and the one or more isolation trenches form one or more isolation plates that isolate at least one group or array of the deep trench capacitors from another group or array of the deep trench capacitors.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: February 18, 2014
    Assignee: International Business Machines Corporation
    Inventors: Oh-Jung Kwon, Junedong Lee, Paul C. Parries, Dominic J. Schepis
  • Patent number: 8586449
    Abstract: Raised isolation structures can be formed at the same level as semiconductor fins over an insulator layer. A template material layer can be conformally deposited to fill the gaps among the semiconductor fins within each cluster of semiconductor fins on an insulator layer, while the space between adjacent clusters is not filled. After an anisotropic etch, discrete template material portions can be formed within each cluster region, while the buried insulator is physically exposed between cluster regions. A raised isolation dielectric layer is deposited and planarized to form raised isolation structures employing the template material portions as stopping structures. After removal of the template material portions, a cluster of semiconductor fins are located within a trench that is self-aligned to outer edges of the cluster of semiconductor fins. The trench can be employed to confine raised source/drain regions to be formed on the cluster of semiconductor fins.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Josephine B. Chang, Paul Chang, Michael A. Guillorn, Effendi Leobandung
  • Patent number: 8546162
    Abstract: A method for forming a light guide layer with improved transmission reliability in a semiconductor substrate, the method including forming a trench in the semiconductor substrate, forming a cladding layer and a preliminary light guide layer in the trench such that only one of opposite side end portions of the preliminary light guide layer is in contact with an inner sidewall of the trench, and performing a thermal treatment on the substrate to change the preliminary light guide layer into the light guide layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: October 1, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dae-Lok Bae, Byung-Lyul Park, Pil-Kyu Kang, Gil-Heyun Choi, Kwang-Jin Moon
  • Patent number: 8530998
    Abstract: Methods and apparatus for producing a semiconductor on insulator structure include: subjecting an implantation surface of a donor single crystal semiconductor wafer to an ion implantation process to create an exfoliation layer of the donor semiconductor wafer; bonding the implantation surface of the exfoliation layer to a glass substrate using electrolysis, wherein a liquidus viscosity of the glass substrate is about 100,000 Poise or greater.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: September 10, 2013
    Assignee: Corning Incorporated
    Inventors: Kishor Purushottam Gadkaree, Matthew John Dejneka, Adam James Ellison
  • Patent number: 8431457
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of trenches using a first mask. The trenches include source pickup trenches located in outside a termination area and between two adjacent active areas. First and second conductive regions separated by an intermediate dielectric region are formed using a second mask. A first electrical contact to the first conductive region and a second electrical contact to the second conductive region are formed using a third mask and forming a source metal region. Contacts to a gate metal region are formed using a fourth mask. A semiconductor device includes a source pickup contact located outside a termination region and outside an active region of the device.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: April 30, 2013
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Hong Chang, Yi Su, Wenjun Li, Limin Weng, Gary Chen, Jongoh Kim, John Chen
  • Patent number: 8278184
    Abstract: A method of forming a non-planar transistor is provided. A substrate is provided. The substrate has a plurality of isolation regions to be formed and a plurality of fin regions to be formed. A first etching process is performed to form a plurality of first trenches having a first depth in the substrate within the isolation regions. At least a doping region is formed in the substrate within the fin regions. A second etching process is performed to deepen the first depth to a second depth and a plurality of fin structures are formed in the substrate within the fin regions. Lastly, a gate is formed on the fin structures.
    Type: Grant
    Filed: November 2, 2011
    Date of Patent: October 2, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Ying-Tsung Chen, Chien-Ting Lin, Shih-Hung Tsai, Ssu-I Fu, Wen-Tai Chiang
  • Patent number: 8242004
    Abstract: A method of forming a semiconductor device includes the following processes. A groove is formed in a semiconductor substrate. A first spin-on-dielectric layer is formed over a semiconductor substrate. An abnormal oxidation of the first spin-on-dielectric layer is carried out. A surface of the first spin-on-dielectric layer is removed. A second spin-on-dielectric layer is formed over the first spin-on-dielectric layer. A non-abnormal oxidation of the first and second spin-on-dielectric layers is carried out to modify the second spin-on-dielectric layer without modifying the first spin-on-dielectric layer.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: August 14, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Jiro Miyahara
  • Patent number: 8062954
    Abstract: A method for manufacturing a field plate in a trench of a power transistor in a substrate of a first conductivity type is disclosed. The trench is formed in a first main surface of the substrate.
    Type: Grant
    Filed: April 21, 2009
    Date of Patent: November 22, 2011
    Assignee: Infineon Technologies AG
    Inventor: Martin Poelzl
  • Patent number: 8043933
    Abstract: Embodiments of the present invention generally relates to an apparatus and a method for processing semiconductor substrates. Particularly, embodiments of the present invention relates to apparatus and methods for forming shallow trench isolations having recesses with rounded bottoms. One embodiment of the present invention comprises forming a recess in a filled trench structure by removing a portion of a material from the filled trench structure and rounding bottom corners of the recess. Rounding bottom corners is performed by depositing a conformal layer of the same material filled in the trench structure over the substrate and removing the conformal layer of the material from sidewalls of the recess.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: October 25, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Chien-Teh Kao, Xinliang Lu, Zhenbin Ge, Mei Chang, Hoiman Raymond Hung, Nitin Ingle
  • Patent number: 8035190
    Abstract: A device comprises a first sub-collector formed in an upper portion of a substrate and a lower portion of a first epitaxial layer and a second sub-collector formed in an upper portion of the first epitaxial layer and a lower portion of a second epitaxial layer. The device further comprises a reach-through structure connecting the first and second sub-collectors and an N-well formed in a portion of the second epitaxial layer and in contact with the second sub-collector and the reach-through structure. The device further comprises N+ diffusion regions in contact with the N-well, a P+ diffusion region in contact with the N-well, and shallow trench isolation structures between the N+ and P+ diffusion regions.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: October 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Xuefeng Liu, Robert M. Rassel, Steven H. Voldman
  • Patent number: 7981700
    Abstract: A semiconductor oxidation apparatus is provided with a sealable oxidation chamber defined by walls, a base provided within the oxidation chamber and configured to support a semiconductor sample, a supply part configured to supply water vapor into the oxidation chamber to oxidize a specific portion of the semiconductor sample, a monitoring window provided in one of the walls of the oxidation chamber and disposed at a position capable of confronting the semiconductor sample supported on the base, a monitoring part provided outside the oxidation chamber and capable of confronting the semiconductor sample supported on the base via the monitoring window, and an adjusting part configured to adjust a distance between the base and the monitoring part.
    Type: Grant
    Filed: February 13, 2006
    Date of Patent: July 19, 2011
    Assignee: Ricoh Company, Ltd.
    Inventors: Shunichi Sato, Naoto Jikutani, Akihiro Itoh, Shinya Umemoto, Yoshiaki Zenno, Takatoshi Yamamoto
  • Patent number: 7951679
    Abstract: First, on a semiconductor region of a first conductivity type, a trapping film is formed which stores information by accumulating charges. Then, the trapping film is formed with a plurality of openings, and impurity ions of a second conductivity type are implanted into the semiconductor region from the formed openings, thereby forming a plurality of diffused layers of the second conductivity type in portions of the semiconductor region located below the openings, respectively. An insulating film is formed to cover edges of the trapping film located toward the openings, and then the semiconductor region is subjected to a thermal process in an atmosphere containing oxygen to oxidize upper portions of the diffused layers. Thereby, insulating oxide films are formed in the upper portions of the diffused layers, respectively. Subsequently, a conductive film is formed over the trapping film including the edges thereof to form an electrode.
    Type: Grant
    Filed: July 25, 2005
    Date of Patent: May 31, 2011
    Assignee: Panasonic Corporation
    Inventors: Koji Yoshida, Keita Takahashi, Fumihiko Noro, Masatoshi Arai, Nobuyoshi Takahashi
  • Patent number: 7888234
    Abstract: A method for manufacturing a semiconductor body with a trench comprises the steps of etching the trench (11) in the semiconductor body (10) and forming a silicon oxide layer (12) on at least one side wall (14) of the trench (11) and on the bottom (15) of the trench (11) by means of thermal oxidation. Furthermore, the silicon oxide layer (12) on the bottom (15) of the trench (11) is removed and the trench (11) is filled with polysilicon that forms a polysilicon body (13).
    Type: Grant
    Filed: April 17, 2008
    Date of Patent: February 15, 2011
    Assignee: austriamicrosystems AG
    Inventors: Martin Knaipp, Bernhard Löffler
  • Patent number: 7858499
    Abstract: Provided are a tape, apparatus, and method that relate generally to a single layer adhesive which functions as a dicing tape and also as a die attach adhesive for dicing thinned wafers and subsequent die attach operations of the diced chips in semiconductor device fabrication. The tape, apparatus, and method include a backing with a surface modification that includes a pattern.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: December 28, 2010
    Assignee: 3M Innovative Properties Company
    Inventors: David J. Plaut, Eric G. Larson, Joel A. Getschel, Olester Benson, Jr.
  • Patent number: 7736963
    Abstract: In an embodiment, a method of forming a gate structure for a semiconductor device includes forming a preliminary gate structure on a semiconductor substrate. The preliminary gate structure includes a gate oxide pattern and a conductive pattern sequentially stacked on the substrate. Then, a re-oxidation process is performed to the substrate having the preliminary gate structure using an oxygen radical including at least one oxygen atom, so that an oxide layer is formed on a surface of the substrate and sidewalls of the preliminary gate structure to form the gate structure for a semiconductor device. The thickness of the gate oxide pattern is prevented from increasing, and the quality of the oxide layer is improved.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong Lee, Young-Sub You, Hun-Hyeoung Leam, Yong-Woo Hyung, Jai-Dong Lee, Ki-Su Na, Jung-Hwan Kim
  • Publication number: 20090278183
    Abstract: Provided are a semiconductor device with a channel of a FIN structure and a method for manufacturing the same. In the method, a device isolation layer defining an active region is formed on a semiconductor substrate. A recess trench with a first width is formed in the active region, and a trench with a second width larger than the first width is formed in the device isolation layer. The trench formed in the device isolation layer is filled with a capping layer. A cleaning process is performed on the recess trench to form a bottom protrusion of a FIN structure including a protrusion and a sidewall. Gate stacks filling the recess trench are formed. A landing plug, which is divided by the capping layer filling the trench, is formed between the gate stacks.
    Type: Application
    Filed: June 27, 2008
    Publication date: November 12, 2009
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jin Yul Lee
  • Patent number: 7579625
    Abstract: A CMOS image sensor is provided. The CMOS image sensor can include: a plurality of photodiodes formed on a semiconductor substrate; an interlayer dielectric layer formed on an entire surface of the semiconductor substrate having the plurality of photodiodes; color filter layers including multi-layered blue color filter layers formed on the interlayer dielectric layer corresponding to respective photodiodes of the plurality of photodiodes; a planarization layer formed on the semiconductor substrate having the color filter layers; and microlenses formed on the planarization layer.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: August 25, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Duk Soo Kim
  • Patent number: 7560360
    Abstract: Methods for enhancing trench capacitance and a trench capacitor so formed are disclosed. In one embodiment a method includes forming a first portion of a trench; depositing a dielectric layer in the first portion; performing a reactive ion etching including a first stage to etch the dielectric layer and form a micro-mask on a bottom surface of the first portion of the trench and a second stage to form a second portion of the trench having a rough sidewall; depositing a node dielectric; and filling the trench with a conductor. The rough sidewall enhances trench capacitance without increasing processing complexity or cost.
    Type: Grant
    Filed: August 30, 2006
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, David M. Dobuzinsky, Xi Li
  • Patent number: 7538009
    Abstract: A method for fabricating an STI gap fill oxide layer in a semiconductor device is provided. The method can include: forming a shallow trench for forming an STI on a semiconductor substrate; forming an STI liner oxide layer in the shallow trench for the STI; depositing an APCVD oxide layer at an upper portion of the STI liner oxide layer for an oxide layer gap fill in the shallow trench of the STI; d) performing a densifying annealing process to densify the APCVD oxide layer; and depositing an HDP-CVD oxide layer at an upper portion of the APCVD oxide layer so that the STI shallow trench is completely gap-filled.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: May 26, 2009
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sung Rae Kim
  • Publication number: 20090017597
    Abstract: A method for manufacturing semiconductor shallow trench isolation is performed as follows. First, a semiconductor substrate including at least one shallow trench is provided, and the shallow trench is filled with Spin-On-Dielectric (SOD) material, e.g., polysilazane, to form a SOD material layer. Then, the SOD material layer is subjected to a planarization process. Oxygen ions are implanted into the SOD material layer to a predetermined depth, and a high temperature process is performed afterwards to transform the portion of the SOD material layer having oxygen ions into a silicon oxide layer. The oxygen ions can be implanted by plasma doping, immersion doping or ion implantation.
    Type: Application
    Filed: January 4, 2008
    Publication date: January 15, 2009
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: PETER HAI JUN ZHAO, YU CHI CHEN, YU SHENG LIU
  • Patent number: 7462550
    Abstract: In one embodiment, a trench semiconductor device is formed to have an oxide of a first thickness along the sidewalls of the trench, and to have a greater thickness along at least a portion of a bottom of the trench.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: December 9, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventor: Gordon M. Grivna
  • Publication number: 20080290445
    Abstract: A method for manufacturing a semiconductor body with a trench comprises the steps of etching the trench (11) in the semiconductor body (10) and forming a silicon oxide layer (12) on at least one side wall (14) of the trench (11) and on the bottom (15) of the trench (11) by means of thermal oxidation. Furthermore, the silicon oxide layer (12) on the bottom (15) of the trench (11) is removed and the trench (11) is filled with polysilicon that forms a polysilicon body (13).
    Type: Application
    Filed: April 17, 2008
    Publication date: November 27, 2008
    Applicant: austriamicrosystems AG Schloss Premstatten
    Inventors: Martin Knaipp, Bernhard Loffler
  • Patent number: 7439156
    Abstract: A semiconductor device and method of manufacturing the same. The method includes: forming a trench in a silicon substrate; forming a first insulating film on a surface of the silicon substrate, the surface including an interior wall of the trench; forming a polysilicon film which plugged in the trench and covered on an entire surface of the silicon substrate; forming a second insulating film with oxidizing a portion of the polysilicon film disposed outside of the trench, and oxidizing a surface region of the silicon substrate located beneath the first insulating film disposed outside of the trench and a surface region of the polysilicon film in the trench; and forming an embedded polysilicon layer by removing the second insulating film so that the surface of the silicon substrate is partially exposed and the polysilicon film partially remains in the trench.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: October 21, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Kinya Ohtani
  • Patent number: 7381625
    Abstract: A method is provided for constructing a nanodevice. The method includes: fabricating an electrode on a substrate; forming a nanogap across the electrode; dispersing a plurality of nanoobjects onto the substrate using electrophoresis; and pushing one of the nanoobjects onto the electrode using a tip of an atomic force microscope, such that the nanoobject lies across the nanogap formed in the electrode. In addition, remaining nanoobjects may also be pushed away from the electrode using the atomic force microscope, thereby completing construction of a nanodevice.
    Type: Grant
    Filed: October 11, 2006
    Date of Patent: June 3, 2008
    Assignee: Board of Trustees operating Michigan State University
    Inventors: Ning Xi, Guangyong Li, Jiangbo Zhang, Hoyin Chan
  • Patent number: 7371655
    Abstract: A low-power CMOS device can be fabricated by forming a shallow trench on a silicon substrate using a gate mask and negative photoresist. This enables an extremely low profile for a junction after completion of lightly doped drain and source/drain implantations. The method includes forming a shallow trench in a silicon substrate.
    Type: Grant
    Filed: December 28, 2005
    Date of Patent: May 13, 2008
    Assignee: Dongbu Electronics Co., Ltd
    Inventor: Eun Jong Shin
  • Publication number: 20080081434
    Abstract: A method for forming an isolation structure in a semiconductor device includes preparing a semi-finished substrate including a trench. An oxide layer is formed over sidewalls of the trench. A multiple layer structure of liner layers is formed over the oxide layer. An insulation layer is formed over the multiple layer structure such that the insulation layer fills an inside of the trench. The insulation layer is planarized.
    Type: Application
    Filed: April 23, 2007
    Publication date: April 3, 2008
    Inventors: Ki-Won Nam, Ky-Hyun Han
  • Patent number: 7303961
    Abstract: A method for producing a junction region (2, 5, 6, 7) between a trench (3) and a semiconductor zone (2) surrounding the trench (3) in a trench semiconductor device (1) has the following steps: application of an oxidation barrier layer (15) to an upper part (O) of the inner walls of the trench (3), and production of a first oxide layer (7) on a lower part (U) of the inner walls, said lower part not being covered by the oxidation barrier layer (15), by means of thermal oxidation of the uncovered (U) part of the inner walls.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Infineon Technologies AG
    Inventors: Hans Weber, Gerhard Silvester Neugschwandtner, Martin Poelzl
  • Patent number: 7288463
    Abstract: Conformal dielectric deposition processes supplemented with a deposited expansion material can fill high aspect ratio narrow width gaps with significantly reduced incidence of voids or weak spots. The technique can also be used generally to form composites, such as for the densification of any substrate having open spaces or gaps to be filled without the incidence of voids or seams.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: October 30, 2007
    Assignee: Novellus Systems, Inc.
    Inventor: George D. Papasouliotis
  • Patent number: 7279396
    Abstract: The invention includes methods of forming trench isolation regions. In one implementation, a masking material is formed over a semiconductor substrate. The masking material comprises at least one of tungsten, titanium nitride and amorphous carbon. An opening is formed through the masking material and into the semiconductor substrate effective to form an isolation trench within semiconductive material of the semiconductor substrate. A trench isolation material is formed within the isolation trench and over the masking material outside of the trench effective to overfill the isolation trench. The trench isolation material is polished at least to an outermost surface of the at least one of tungsten, titanium nitride and amorphous carbon of the masking material. The at least one of tungsten, titanium nitride and amorphous carbon is/are etched from the substrate. Other implementations and aspects are contemplated.
    Type: Grant
    Filed: August 22, 2005
    Date of Patent: October 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, H. Montgomery Manning
  • Patent number: 7259074
    Abstract: The present invention provides a trench isolation method in a flash memory device, by which stability and reliability of the device are enhanced in a manner of forming a pad oxide layer thick in the vicinity of an edge of a trench isolation layer.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: August 21, 2007
    Assignee: Dongbu Hitek Co., Ltd.
    Inventors: Sung Mun Jung, Jum Soo Kim
  • Patent number: 7176105
    Abstract: A thin layer of silicon is deposited within a high aspect ratio feature to provide a template for selective deposition of oxide therein. In accordance with one embodiment, amorphous silicon is deposited within a shallow trench feature overlying an oxide liner grown therein. After exposure to sputtering to remove the amorphous silicon from outside of the trench, oxide is selectively deposited over the amorphous silicon to fill the trench from the bottom up without voids, thereby creating a shallow trench isolation (STI) structure. Deposition of the amorphous silicon or other silicon containing layers allows the selective oxide deposition step to be integrated with a thermally-grown oxide trench liner.
    Type: Grant
    Filed: June 1, 2004
    Date of Patent: February 13, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Srinivas Nemani, Shankar Venkataraman
  • Patent number: 7056805
    Abstract: A method according to one embodiment includes aligning an alignment mark in a substantially transmissive process layer overlying a substrate, said mark comprising high reflectance areas for reflecting radiation of an alignment beam of radiation, and low reflectance areas for reflecting less radiation of the alignment beam, wherein the low reflectance areas comprise scattering structures for scattering radiation of the alignment beam.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: June 6, 2006
    Assignee: ASML Netherlands B.V.
    Inventor: Richard Johannes Franciscus Van Haren
  • Patent number: 7029988
    Abstract: A method and device are provided for shallow trench isolation for a silicon wafer containing silicon-germanium. In one example, the method comprises forming a trench region in a silicon-germanium layer of a semiconductor substrate containing a single crystal silicon-germanium layer on the surface; forming a first single crystal silicon layer in the trench region and an active region; oxidizing the first single crystal silicon layer; forming a first thermal oxide layer on the surface of the first single crystal silicon layer; forming a device isolation region; embedding an insulator in the trench region; and forming a device in an active region over the single crystal silicon-germanium layer separated by the device isolation region, wherein the step of forming the device in the active region further includes forming a doped region of a depth to reach within the single crystal silicon-germanium layer below the first single crystal silicon layer.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: April 18, 2006
    Assignee: Renesas Technology Corporation
    Inventors: Kazuhiro Ohnishi, Nobuyuki Sugii, Takahiro Onai
  • Patent number: 7015115
    Abstract: According to one embodiment, a structure comprises a substrate and a field oxide region, where the field oxide region has a top surface, and where the top surface of the field oxide region comprises substantially no cavities caused by lateral etching. The structure further comprises a trench situated in the substrate, where the trench has a first sidewall and a second sidewall in the substrate, and where the trench is situated directly underneath the field oxide region. According to this embodiment, the trench is used as a deep trench isolation region in the substrate and is typically filled with polysilicon. A thermally grown oxide liner is situated on the first and the second sidewalls of the trench, where the oxide liner is formed after removal of a hard mask. The hard mask may be densified TEOS oxide or HDP oxide and may be removed in an anisotropic dry etch process.
    Type: Grant
    Filed: February 20, 2003
    Date of Patent: March 21, 2006
    Assignee: Newport Fab, LLC
    Inventors: Kevin Q. Yin, Amol Kalburge
  • Patent number: 6913984
    Abstract: A method of fabricating memory with nano dots includes sequentially depositing a first insulating layer, a charge storage layer, a sacrificial layer, and a metal layer on a substrate in which source and drain electrodes are formed, forming a plurality of holes on the resultant structure by anodizing the metal layer and oxidizing portions of the sacrificial layer that are exposed through the holes, patterning the charge storage layer to have nano dots by removing the oxidized metal layer, and etching the sacrificial layer and the charge storage layer using the oxidized sacrificial layer as a mask, and removing the oxidized sacrificial layer, depositing a second insulating layer and a gate electrode on the patterned charge storage layer, and patterning the first insulating layer, the patterned charge storage layer, the second insulating layer, and the gate electrode to a predetermined shape, for forming memory having uniformly distributed nano-scale storage nodes.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: July 5, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-sook Kim, Sun-ae Seo, In-kyeong Yoo, Soo-hwan Jeong
  • Patent number: 6884687
    Abstract: In one aspect, the invention provides a method of forming an electrical connection in an integrated circuitry device. According to one preferred implementation, a diffusion region is formed in semiconductive material. A conductive line is formed which is laterally spaced from the diffusion region. The conductive line is preferably formed relative to and within isolation oxide which separates substrate active areas. The conductive line is subsequently interconnected with the diffusion region. According to another preferred implementation, an oxide isolation grid is formed within semiconductive material. Conductive material is formed within the oxide isolation grid to form a conductive grid therein. Selected portions of the conductive grid are then removed to define interconnect lines within the oxide isolation grid. According to another preferred implementation, a plurality of oxide isolation regions are formed over a semiconductive substrate.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: April 26, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Wendell P. Noble
  • Patent number: 6878606
    Abstract: A method and device are provided for shallow trench isolation for a silicon wafer containing silicon-germanium. In one example, the method comprises forming a trench region in a silicon-germanium layer of a semiconductor substrate containing a single crystal silicon-germanium layer on the surface; forming a first single crystal silicon layer in the trench region and an active region; oxidizing the first single crystal silicon layer; forming a first thermal oxide layer on the surface of the first single crystal silicon layer; forming a device isolation region; embedding an insulator in the trench region; and forming a device in an active region over the single crystal silicon-germanium layer separated by the device isolation region, wherein the step of forming the device in the active region further includes forming a doped region of a depth to reach within the single crystal silicon-germanium layer below the first single crystal silicon layer.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: April 12, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Kazuhiro Ohnishi, Nobuyuki Sugii, Takahiro Onai
  • Patent number: 6861311
    Abstract: In one aspect, the invention provides a method of forming an electrical connection in an integrated circuitry device. According to one preferred implementation, a diffusion region is formed in semiconductive material. A conductive line is formed which is laterally spaced from the diffusion region. The conductive line is preferably formed relative to and within isolation oxide which separates substrate active areas. The conductive line is subsequently interconnected with the diffusion region. According to another preferred implementation, an oxide isolation grid is formed within semiconductive material. Conductive material is formed within the oxide isolation grid to form a conductive grid therein. Selected portions of the conductive grid are then removed to define interconnect lines within the oxide isolation grid. According to another preferred implementation, a plurality of oxide isolation regions are formed over a semiconductive substrate.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: March 1, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Wendell P. Noble
  • Patent number: 6838355
    Abstract: A method for forming back-end-of-line (BEOL) interconnect structures in disclosed. The method and resulting structure includes etchback for low-k dielectric materials. Specifically, a low dielectric constant material is integrated into a dual or single damascene wiring structure which contains a dielectric material having relatively high dielectric constant (i.e., 4.0 or higher). The damascene structure comprises the higher dielectric constant material immediately adjacent to the metal interconnects, thus benefiting from the mechanical characteristics of these materials, while incorporating the lower dielectric constant material in other areas of the interconnect level.
    Type: Grant
    Filed: August 4, 2003
    Date of Patent: January 4, 2005
    Assignee: International Business Machines Corporation
    Inventors: Anthony K. Stamper, Edward C. Cooney, III, Jeffrey P. Gambino, Timothy J. Dalton, John A. Fitzsimmons, Lee M. Nicholson
  • Patent number: 6784042
    Abstract: An integration process in a SOI substrate of a semiconductor device having at least a dielectrically insulated well, the process including: an oxidizing step directed to form an oxide layer; a depositing step of a nitride layer onto the oxide layer; a masking step, carried out onto the nitride layer using a resist layer and directed to define suitable photolithographic openings for forming at least one dielectric trench effective to provide side insulation for the well; an etching step of the nitride layer and oxide layer, as suitably masked by the resist layer, the nitride layer being used as a hardmask; a step of forming the at least one dielectric trench, which step comprises at least one step of etching the substrate, an oxidizing step of at least sidewalls of the at least one dielectric trench, and a step of filling the at least one trench with a filling material; and a step of defining active areas of components to be integrated in the well, being carried out after the step of forming the at least one d
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: August 31, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventor: Leonardi Salvatore
  • Publication number: 20040121554
    Abstract: A method and device are provided for shallow trench isolation for a silicon wafer containing silicon-germanium. In one example, the method comprises forming a trench region in a silicon-germanium layer of a semiconductor substrate containing a single crystal silicon-germanium layer on the surface; forming a first single crystal silicon layer in the trench region and an active region; oxidizing the first single crystal silicon layer; forming a first thermal oxide layer on the surface of the first single crystal silicon layer; forming a device isolation region; embedding an insulator in the trench region; and forming a device in an active region over the single crystal silicon-germanium layer separated by the device isolation region, wherein the step of forming the device in the active region further includes forming a doped region of a depth to reach within the single crystal silicon-germanium layer below the first single crystal silicon layer.
    Type: Application
    Filed: May 28, 2003
    Publication date: June 24, 2004
    Applicant: Hitachi, Ltd.
    Inventors: Kazuhiro Ohnishi, Nobuyuki Sugii, Takahiro Onai
  • Patent number: 6737336
    Abstract: A semiconductor device having a trench isolation structure which has a high insulating characteristic, is suitable for miniaturizing a semiconductor device, and prevents a leakage current, as well as a method of manufacturing the semiconductor device. A small-density polysilicon film is formed between a semiconductor substrate and a CVD silicon oxide film in the area within a trench where a trench isolation structure is to be formed. Mechanical stress that develops between the semiconductor substrate and the CVD silicon oxide film during heat treatment is mitigated by changing the crystalline structure of the polysilicon film.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: May 18, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Shuuichi Ueno, Yasuo Inoue, Masayoshi Shirahata
  • Patent number: 6734081
    Abstract: Provided are methods and composition for forming an isolation structure on an integrated circuit substrate. A trench is etched in the integrated circuit substrate. A light barrier layer is then formed in the trench such that the light barrier layer at least partially fills the trench to create an isolation structure, the light barrier layer being adapted for absorbing laser light applied during laser thermal processing, thereby preventing damage to the integrated circuit substrate. For instance, the light barrier layer may be a conductive layer such as polysilicon. A dielectric layer is then formed over the isolation structure. The dielectric layer may be adapted for transferring heat generated by the laser thermal processing to the light barrier layer. For instance, the dielectric layer may be formed through oxidation of a top surface of the light barrier layer.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: May 11, 2004
    Assignee: LSI Logic Corporation
    Inventors: Helmut Puchner, Venkatesh P. Gopinath
  • Patent number: 6727157
    Abstract: In fabricating a shallow trench isolation (STI), a silicon oxide layer, a silicon nitride layer and a moat pattern is sequentially deposited on a silicon substrate. Next, the silicon nitride layer and the silicon oxide layer is etched using the moat pattern as a mask to thereby partially expose the silicon substrate and then the moat pattern is removed. Ion implanting process is performed into the silicon substrate using the silicon nitride layer as a mask, adjusting a dose of an implanted ion and an implant energy, to thereby form an isolation region. And then, the isolation region to form a porous silicon and to form an air gap in the porous silicon is anodized, wherein a porosity of the porous silicon is determined by the dose of the implanted ion. Next, the porous silicon is oxidized through an oxidation process. Finally, the silicon nitride layer is removed.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: April 27, 2004
    Assignee: Anam Semiconductor, Inc.
    Inventor: Young Hun Seo