Including Heat Treatment Patents (Class 438/530)
  • Publication number: 20100200954
    Abstract: In an ion implantation method, a substrate is placed in a process zone and ions are implanted into a region of the substrate to form an ion implanted region. A porous capping layer is deposited on the ion implanted region. The substrate is annealed to volatize at least 80% of the porous capping layer overlying the ion implanted region during the annealing process. An intermediate product comprises a substrate, a plurality of ion implantation regions on the substrate, and a porous capping layer covering the ion implantation regions.
    Type: Application
    Filed: February 6, 2009
    Publication date: August 12, 2010
    Inventors: JOSE IGNACIO DEL AGUA BORNIQUEL, Tze Poon, Robert Schreutelkamp, Majeed Foad
  • Publication number: 20100203667
    Abstract: A manufacturing method for a solid-state image sensor, the method comprises the steps of: forming a charge storage region in a photoelectric converting unit by implanting a semiconductor substrate with ions of an impurity of a first conductivity type, using a first mask; heating the semiconductor substrate at a temperature of no less than 800° C. and no more than 1200° C. through RTA (Rapid Thermal Annealing); forming a surface region of the charge storage region by implanting the semiconductor substrate with ions of an impurity of a second conductivity type, using a second a mask; heating the semiconductor substrate at a temperature of no less than 800° C. and no more than 1200° C. through RTA (Rapid Thermal Annealing); and forming an antireflection film that covers the photoelectric converting unit at a temperature of less than 800° C., after the step of forming the surface region, in this order.
    Type: Application
    Filed: February 1, 2010
    Publication date: August 12, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Katsunori Hirota, Akira Ohtani, Kazuaki Tashiro, Yusuke Onuki, Takanori Watanabe, Takeshi Ichikawa
  • Patent number: 7772098
    Abstract: On one face of a semiconductor wafer 1 having a first face (principal face) 1a and a second face (rear face) 1b, a protection film 2 is formed. When allowing the semiconductor wafer 1 to be attracted onto an attracting face of an electrostatic chuck 6 which is heated to 400° C. or more, the semiconductor wafer 1 is attracted onto the attracting face via the protection film 2. While heating the semiconductor wafer 1 to 400° C. or more, an ion implantation is performed for the face of the semiconductor wafer 1 on which the protection film 2 is not formed. Thereafter, the protection film 2 is removed from the semiconductor wafer 1.
    Type: Grant
    Filed: March 26, 2008
    Date of Patent: August 10, 2010
    Assignee: Panasonic Corporation
    Inventors: Osamu Kusumoto, Chiaki Kudou, Kunimasa Takahashi
  • Publication number: 20100197111
    Abstract: A method of manufacturing a memory device and a phase-change memory device is presented. The method of manufacturing the memory device includes performing Ge ion implantation on a top surface of a first layer. The method also includes performing a fast heat treatment on the ion-implanted first layer. The method also includes forming a second layer on a top of the fast heat-treated first layer.
    Type: Application
    Filed: November 23, 2009
    Publication date: August 5, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hye Jin SEO, Keum Bum LEE, Hyung Suk LEE
  • Patent number: 7759210
    Abstract: A method for forming a MOS device on a semiconductor substrate includes steps of: forming a gate structure on the semiconductor substrate; implanting ions into the semiconductor substrate for forming one or more lightly doped drain structures adjacent to the gate structure; thermally treating the semiconductor substrate at a first temperature lower than a threshold temperature, below which no substantial transient enhanced diffusion of the lightly doped drain structures occurs, for repairing damage to the semiconductor substrate caused by the ion implantation; forming sidewall spacers to sidewalls of the gate structure on the semiconductor substrate; and forming source and drain regions adjacent to the gate structure in the semiconductor substrate.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: July 20, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huan-Tsung Huang, Fung Ka Hing
  • Patent number: 7759259
    Abstract: A method of manufacturing a semiconductor device including heating a semiconductor substrate, has forming a cap film on a surface of said semiconductor substrate; selectively removing said cap film at least from an upper surface of an edge of said semiconductor substrate, a bevel surface of the edge of said semiconductor substrate and a side surface of the edge of said semiconductor substrate; selectively removing at least a device forming film formed on the upper surface of the edge of said semiconductor substrate, the bevel surface of the edge of said semiconductor substrate and the side surface of the edge of said semiconductor substrate; and heating said semiconductor substrate by irradiating said semiconductor substrate with light having a pulse width of 0.1 milliseconds to 100 milliseconds from a light source after removing said device forming film, wherein said cap film has a lower reflectance at a peak wavelength of said light than said semiconductor substrate.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: July 20, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takayuki Ito
  • Patent number: 7754522
    Abstract: Methods, devices, and systems associated with phase change memory structures are described herein. One or more embodiments of the present disclosure can reduce thermal crosstalk associated with phase change memory cells, which can provide various benefits including improved data reliability and retention and decreased read and/or write times, among various other benefits. One or more embodiments can reduce the number of processing steps associated with providing local interconnects to phase change memory arrays.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: July 13, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Jun Liu
  • Publication number: 20100167508
    Abstract: A method for introducing impurities includes a step for forming an amorphous layer at a surface of a semiconductor substrate, and a step for forming a shallow impurity-introducing layer at the semiconductor substrate which has been made amorphous, and an apparatus used therefore. Particularly, the step for forming the amorphous layer is a step for irradiating plasma to the surface of the semiconductor substrate, and the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.
    Type: Application
    Filed: March 5, 2010
    Publication date: July 1, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Yuichiro Sasaki, Bunji Mizuno, Cheng-Guo Jin
  • Patent number: 7745295
    Abstract: Some embodiments include methods of forming memory cells. Dopant is implanted into a semiconductor substrate to form a pair of source/drain regions that are spaced from one another by a channel region. The dopant is annealed within the source/drain regions, and then a plurality of charge trapping units are formed over the channel region. Dielectric material is then formed over the charge trapping units, and control gate material is formed over the dielectric material. Some embodiments include memory cells that contain a plurality of nanosized islands of charge trapping material over a channel region, with adjacent islands being spaced from one another by gaps. The memory cells can further include dielectric material over and between the nanosized islands, with the dielectric material forming a container shape having an upwardly opening trough therein. The memory cells can further include control gate material within the trough.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: June 29, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Hussein I. Hanafi
  • Publication number: 20100155806
    Abstract: A semiconductor device includes an active zone doped according to a first type; a drain zone formed in the active zone and doped according to a second type; a source zone formed in the active zone and doped according to the second type; an insulated gate zone separated from the active zone by an insulating layer; a deep well, doped according to the second type such that the active zone is located between the gate zone and the well; a floating gate zone formed in the active zone under a space existing between the drain zone and the source zone, the floating gate zone including defects introducing deep levels in the bandgap of the semiconductor material, the deep levels being suited to trap carriers corresponding to the first type such that a charge state of the floating gate zone is modified and a drain source current varies due to the presence of a supplementary potential on the floating gate zone, a concentration of defects in the floating gate zone being strictly greater than 1018 cm?3.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 24, 2010
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventor: Nicolas Fourches
  • Patent number: 7741200
    Abstract: Methods for formation and treatment of epitaxial layers containing silicon and carbon are disclosed. Treatment converts interstitial carbon to substitutional carbon in the epitaxial layer, according to one or more embodiments. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the treatment of the epitaxial layer involves annealing for short periods of time, for example, by laser annealing, millisecond annealing, rapid thermal annealing, spike annealing and combinations thereof. Embodiments include amorphization of at least a portion of the epitaxial layer containing silicon and carbon.
    Type: Grant
    Filed: July 16, 2007
    Date of Patent: June 22, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yonah Cho, Yihwan Kim
  • Patent number: 7741192
    Abstract: A thin semiconductor wafer, on which a top surface structure and a bottom surface structure that form a semiconductor chip are formed, is affixed to a supporting substrate by a double-sided adhesive tape. Then, on the thin semiconductor wafer, a trench to become a scribing line is formed by wet anisotropic etching with a crystal face exposed so as to form a side wall of the trench. On the side wall of the trench with the crystal face thus exposed, an isolation layer for holding a reverse breakdown voltage is formed by ion implantation and low temperature annealing or laser annealing so as to be extended to the top surface side while being in contact with a p collector region as a bottom surface diffused layer. Then, laser dicing is carried out to neatly dice a collector electrode, formed on the p collector region, together with the p collector region, without presenting any excessive portions and any insufficient portions under the isolation layer.
    Type: Grant
    Filed: August 19, 2005
    Date of Patent: June 22, 2010
    Assignee: Fuji Electric Systems Co., Ltd.
    Inventors: Kazuo Shimoyama, Manabu Takei, Haruo Nakazawa
  • Publication number: 20100148293
    Abstract: An implantable, miniaturized platform and a method for fabricating the platform is provided, where the e platform includes a top cover plate and a bottom substrate, top cover plate including an epitaxial, Si-encased substrate and is configured to include monolithically grown devices and device contact pads, the Si-encased substrate cover plate including a gold perimeter fence deposited on its Si covered outer rim and wherein the bottom substrate is constructed of Si and includes a plurality of partial-Si-vias (PSVs), electronic integrated circuits, device pads, pad interconnects and a gold perimeter fence, wherein the device pads are aligned with a respective device contact pad on the top cover plate and includes gold bumps having a predetermined height, the top cover plate and the bottom substrate being flip-chip bonded to provide a perimeter seal and to ensure electrical connectivity between the plurality of internal devices and at least one external component.
    Type: Application
    Filed: November 16, 2009
    Publication date: June 17, 2010
    Inventors: Faquir Chand Jain, Fotios Papadimitrakopulos
  • Publication number: 20100148308
    Abstract: A method of manufacturing a semiconductor device comprises growing or depositing an implantation oxide layer, implanting a dopant, activating the dopant, and removing the implantation oxide layer after the step of activating the dopant.
    Type: Application
    Filed: December 15, 2009
    Publication date: June 17, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Alfred HAEUSLER, Wolfgang SCHWARTZ
  • Publication number: 20100144131
    Abstract: A bonded wafer is produced by a step of forming an oxygen ion implanted layer, a step of forming a wafer composite, a step of exposing the oxygen ion implanted layer, and a step of obtaining an active layer, wherein the exposed oxygen ion implanted layer is removed by sequentially subjecting to a first HF treatment, a given oxidation heat treatment, and then a second HF treatment.
    Type: Application
    Filed: December 3, 2009
    Publication date: June 10, 2010
    Applicant: SUMCO CORPORATION
    Inventor: Hidehiko Okuda
  • Patent number: 7723160
    Abstract: A method and device for thermal conduction is provided. A thermal interface device and method of formation is described that includes advantages such as improved interfacial strength, and improved interfacial contact. Embodiments of thermal conduction structures are shown that provide composite thermal conduction and circulated liquid cooling. Embodiments are further shown that require simple, low numbers of manufacturing steps and reduced thermal interface thickness.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: May 25, 2010
    Assignee: Intel Corporation
    Inventors: Sabina J. Houle, James Christopher Matayabas, Jr.
  • Publication number: 20100109099
    Abstract: A semiconductor device including a semiconductor substrate, an interface layer formed on the semiconductor substrate including at least 1×1020 atoms/cm3 of S (Sulfur), a metal-semiconductor compound layer formed on the interface layer, the metal-semiconductor compound layer including at least 1×1020 atoms/cm3 of S in the its whole depth, and a metal electrode formed on the metal-semiconductor compound layer.
    Type: Application
    Filed: October 30, 2009
    Publication date: May 6, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yoshifumi NISHI, Atsuhiro Kinoshita
  • Patent number: 7704865
    Abstract: Methods of forming charge-trapping dielectric layer structures in semiconductor memory devices which comprise: (a) providing a semiconductor substrate; (b) forming an oxide layer on at least a portion of the substrate; (c) forming two or more source/drain regions in the substrate below the oxide layer; (d) re-oxidizing the oxide layer; (e) forming a charge-trapping dielectric layer on the oxide layer; and (f) forming an insulating layer on the charge-trapping dielectric layer; as well as methods which comprise: (a) providing a semiconductor substrate; (b) forming an oxide layer on at least a portion of the substrate in a dry atmosphere; (c) forming two or more source/drain regions in the substrate below the oxide layer; (d) forming a charge-trapping dielectric layer on the oxide layer; (e) forming an insulating layer on the charge-trapping dielectric layer; and (f) annealing the insulating layer in an atmosphere having a hydrogen content of less than about 0.01% are described.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: April 27, 2010
    Assignee: MACRONIX International Co., Ltd.
    Inventors: Yen-Hao Shih, Shih-Chin Lee, Jung-Yu Hsieh, Erh-Kun Lai, Kuang Yeu Hsieh
  • Patent number: 7700465
    Abstract: A method for ion implanting a species into a surface layer of a workpiece in a chamber includes placing the workpiece in a processing zone of the chamber bounded by a chamber side wall and a chamber ceiling facing said workpiece and between a pair of ports of the chamber near generally opposite sides to the processing zone and connected together by a conduit external of the chamber. The method further includes introducing into the chamber a process gas comprising the species to be implanted, and further generating from the process gas a plasma current and causing the plasma current to oscillate in a circulatory reentrant path comprising the conduit and the processing zone.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: April 20, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Andrew Nguyen, Amir Al-Bayati, Biagio Gallo, Gonzalo Antonio Monroy
  • Patent number: 7700418
    Abstract: Disclosed herein is a method for production of a thin-film semiconductor device which includes, a first step to form a gate electrode on a substrate, a second step to form a gate insulating film of silicon oxynitride on the substrate in such a way as to cover the gate electrode, a third step to form a semiconductor thin film on the gate insulating film, and a fourth step to perform heat treatment in an oxygen-containing oxidizing atmosphere for modification through oxygen binding with oxygen-deficient parts in the silicon oxynitride film constituting the gate insulating film.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: April 20, 2010
    Assignee: Sony Corporation
    Inventor: Masafumi Kunii
  • Patent number: 7700394
    Abstract: There is obtained a silicon wafer which has a large diameter, where no slip generated therein in a wide range of a density of oxygen precipitates even though a heat treatment such as SLA or FLA is applied thereto, and which has high strength. First, by inputting as input parameters combinations of a plurality of types of oxygen concentrations and thermal histories set for manufacture of a silicon wafer, a Fokker-Planck equation is solved to calculate each of a diagonal length L and a density D of oxygen precipitates in the wafer after a heat treatment step to form the oxygen precipitates (11) and immediately before a heat treatment step of a device manufacturing process is calculated.
    Type: Grant
    Filed: June 21, 2005
    Date of Patent: April 20, 2010
    Assignee: Sumco Corporation
    Inventors: Shinsuke Sadamitsu, Wataru Sugimura, Masanori Akatsuka, Masataka Hourai
  • Patent number: 7700450
    Abstract: A method for forming a MOS transistor includes providing a substrate having at least a gate structure formed thereon, performing a pre-amorphization (PAI) process to form amorphized regions in the substrate, sequentially performing a co-implantation process, a first ion implantation process, and a first rapid thermal annealing (RTA) process to form lightly doped drains (LDDs), forming spacers on sidewalls of the gate structure, and forming a source/drain.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: April 20, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Hsien Lee, Cheng-Tung Huang, Shyh-Fann Ting, Wen-Han Hung, Li-Shian Jeng, Tzyy-Ming Cheng
  • Publication number: 20100084720
    Abstract: A gate of a semiconductor device includes a substrate, and a polysilicon layer over the substrate, wherein the polysilicon layer is doped with first conductive type impurities having a concentration that decreases when receding from the substrate and counter-doped with second conductive type impurities having a concentration that increases when receding from the substrate.
    Type: Application
    Filed: December 30, 2008
    Publication date: April 8, 2010
    Applicant: Hynix Semiconductor Inc.
    Inventors: Jae-Geun Oh, Jin-Ku Lee, Min-Ae Ju
  • Publication number: 20100084746
    Abstract: A method of manufacturing a laminated substrate is provided. The method includes: forming an oxide film on at least a surface of a first substrate having a hardness of equal to or more than 150 GPa in Young's modulus, and then smoothing the oxide film; implanting hydrogen ions or rare gas ions, or mixed gas ions thereof from a surface of a second substrate to form an ion-implanted layer inside the substrate, laminating the first substrate and the second substrate through at least the oxide film, and then detaching the second substrate in the ion-implanted layer to form a laminated substrate, heat-treating the laminated substrate and diffusing outwardly the oxide film.
    Type: Application
    Filed: August 28, 2009
    Publication date: April 8, 2010
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Shoji AKIYAMA, Atsuo ITO, Yoshihiro KUBOTA, Koichi TANAKA, Makoto KAWAI, Yuuji TOBISAKA
  • Publication number: 20100087052
    Abstract: A method and apparatus for forming a semiconductor device. A semiconductor substrate is implanted with dopants. The substrate is subjected to a cleaning process employing electrically neutral nitrogen and fluorine radicals to produce an oxygen-free surface having dangling bonds. Before any further exposure to oxidizing gases, the substrate is annealed by thermal treatment to activate and distribute the dopants. A gate oxide layer is formed over the annealed surface. The apparatus performs all such treatments without breaking vacuum.
    Type: Application
    Filed: October 8, 2008
    Publication date: April 8, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Zhi Xu
  • Publication number: 20100075490
    Abstract: A method and apparatus for implanting a semiconductor substrate with boron clusters. A substrate is implanted with octadecaborane by plasma immersion or ion beam implantation. The substrate surface is then melted, resolidified, and annealed to completely dissociate and activate the boron clusters.
    Type: Application
    Filed: September 21, 2009
    Publication date: March 25, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Jiping Li
  • Patent number: 7682955
    Abstract: The invention provides a method for forming a deep well region of a power device, including: providing a substrate with a first sacrificial layer thereon; forming a first patterned mask layer on the first sacrificial layer exposing a first open region; performing a first doping process to the first open region to form a first sub-doped region; removing the first patterned mask layer and the first sacrificial layer; forming an epitaxial layer on the substrate; forming a second sacrificial layer on the epitaxial layer; forming a second patterned mask layer on the second sacrificial layer exposing a second open region; performing a second doping process to the second open region to form a second sub-doped region; removing the second patterned mask layer; performing an annealing process to make the first and the second sub-doped regions form a deep well region; and removing the second sacrificial layer.
    Type: Grant
    Filed: November 25, 2008
    Date of Patent: March 23, 2010
    Assignee: Vanguard International Semiconductor Corporation
    Inventors: Shanghui L. Tu, Hung-Shern Tsai, Jui-Chun Chang
  • Patent number: 7678637
    Abstract: Ultra high temperature (UHT) anneals above 1200 C for less than 100 milliseconds for PMOS transistors reduce end of range dislocations, but are incompatible with stress memorization technique (SMT) layers used to enhance NMOS on-state current. This invention reverses the conventional order of forming the NMOS first by forming PSD using carbon co-implants and UHT annealing them before implanting the NSD and depositing the SMT layer. End of range dislocation densities in the PSD space charge region below 100 cm?2 are achieved. Tensile stress in the PMOS from the SMT layer is significantly reduced. The PLDD may also be UHT annealed to reduce end of range dislocations close to the PMOS channel.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: March 16, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Mahalingam Nandakumar, Song Zhao, Amitabh Jain
  • Patent number: 7674696
    Abstract: In one embodiment, a gate insulating layer, a conductive layer, and a metal layer are formed over a semiconductor substrate. An ion implantation region is formed in an interface of the conductive layer and the metal layer by performing an ion implantation process. A flash annealing process is performed on the ion-implanted semiconductor substrate. The metal layer, the conductive layer, and the gate insulating layer are patterned.
    Type: Grant
    Filed: December 21, 2007
    Date of Patent: March 9, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Ho Lee, Kwon Hong, Jae Mun Kim, Hee Soo Kim, Jae Hyoung Koo
  • Publication number: 20100055859
    Abstract: Disclosed is a method for manufacturing a semiconductor device comprising implanting ions of an impurity element into a semiconductor region, implanting, into the semiconductor region, ions of a predetermined element which is a group IV element or an element having the same conductivity type as the impurity element and larger in mass number than the impurity element, and irradiating a region into which the impurity element and the predetermined element are implanted with light to anneal the region, the light having an emission intensity distribution, a maximum point of the distribution existing in a wavelength region of not more than 600 nm.
    Type: Application
    Filed: November 6, 2009
    Publication date: March 4, 2010
    Inventors: Takayuki Ito, Toshihiko Iinuma, Kyoichi Suguro
  • Patent number: 7670885
    Abstract: A method of manufacturing a thin-film semiconductor device, including forming a crystallized region on a transparent insulating substrate, implanting an impurity into the crystallized region and an amorphous semiconductor layer to form a source diffusion region and a drain diffusion region in the crystallized region, subjecting the resultant structure to heat treatment, thereby not only activating the impurity implanted in the crystallized region and the amorphous semiconductor layer but also restoring crystallinity of only a portion of the amorphous semiconductor layer which is formed on the crystallized region to thereby turn the portion into a polycrystalline semiconductor layer, and subjecting the resultant surface to selective etching to thereby leave only the polycrystalline semiconductor layer and to remove the amorphous semiconductor layer formed on other regions, thereby forming, in a self-aligned manner, a stacked source diffusion layer and a stacked drain diffusion layer.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: March 2, 2010
    Assignee: Advanced LCD Technologies Development Center Co., Ltd.
    Inventor: Katsunori Mitsuhashi
  • Publication number: 20100044721
    Abstract: The invention offers a method of producing a semiconductor device that can suppress the worsening of the property due to surface roughening of a wafer by sufficiently suppressing the surface roughening of the wafer in the heat treatment step and a semiconductor device in which the worsening of the property caused by the surface roughening is suppressed. The method of producing a MOSFET as a semiconductor device is provided with a step of preparing a wafer 3 made of silicon carbide and an activation annealing step that performs activation annealing by heating the wafer 3. In the activation annealing step, the wafer 3 is heated in an atmosphere containing a vapor of silicon carbide generated from the SiC piece 61, which is a generating source other than the wafer 3.
    Type: Application
    Filed: August 21, 2008
    Publication date: February 25, 2010
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Kazuhiro Fujikawa, Shin Harada, Yasuo Namikawa, Takeyoshi Masuda
  • Publication number: 20100048005
    Abstract: Described herein are processing conditions, techniques, and methods for preparation of ultra-shallow semiconductor junctions. Methods described herein utilize semiconductor surface processing or modification to limit the extent of dopant diffusion under annealing conditions (e.g. temperature ramp rates between 100 and 5000° C./second) previously thought impractical for the preparation of ultra-shallow semiconductor junctions. Also described herein are techniques for preparation of ultra-shallow semiconductor junctions utilizing the presence of a solid interface for control of dopant diffusion and activation.
    Type: Application
    Filed: March 19, 2009
    Publication date: February 25, 2010
    Inventor: Edmund G. Seebauer
  • Patent number: 7666772
    Abstract: A heat treatment apparatus which enables a heating process for a short time with high reproducibility in a manufacturing process of a MOS transistor manufactured using a semiconductor substrate, and a method of manufacturing a semiconductor device using the heat treatment apparatus are provided. The heat treatment apparatus of the present invention which enables the above heat treatment method is characterized by comprising: a light source; a power supply for turning the light source on and off in a pulse shape; a processing chamber in which the substrate can be irradiated with light from the light source; and a unit for supplying a coolant to the processing chamber and also increasing and decreasing the supply amount.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: February 23, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Koji Dairiki, Shunpei Yamazaki
  • Publication number: 20100025695
    Abstract: In an atmosphere in which a silicon carbide (SiC) substrate implanted with impurities is annealed to activate the impurities, by setting a partial pressure of H2O to be not larger than 10?2 Pa, preferably not larger than 10?3 Pa, surface irregularity of the silicon carbide (SiC) substrate is controlled to be not greater than 2 nm, more preferably not greater than 1 nm in RMS value.
    Type: Application
    Filed: April 20, 2007
    Publication date: February 4, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Masami Shibagaki, Akihiro Egami
  • Publication number: 20090325368
    Abstract: The resist film after high-concentration ion implantation has a hard modified layer on the surface thereof, and is difficult to remove in the temperature region as low as about 150 degrees centigrade. This is because the etching rate of the modified layer sharply decreases with a decrease in temperature. The temperature is increased up to about 250 degrees centigrade to perform an ashing treatment in vacuum in order to increase the etching rate of the modified layer. Then, there occurs a popping phenomenon that the inside resist solvent swells and breaks. The residues scattered thereby of the modified layer and the like seize the wafer surface, and also become difficult to remove even in the subsequent cleaning.
    Type: Application
    Filed: May 29, 2009
    Publication date: December 31, 2009
    Inventors: Katsuhisa SHIBUYA, Hiromichi WAKI, Naoto AIDA
  • Publication number: 20090315152
    Abstract: A method of forming a device is presented. The method includes providing a structure having first and second regions. A diffusion barrier is formed between at least a portion of the first and second regions. The diffusion barrier comprises cavities that reduce diffusion of elements between the first and second regions.
    Type: Application
    Filed: June 24, 2008
    Publication date: December 24, 2009
    Applicant: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Shyue Seng TAN, Lee Wee TEO, Yung Fu CHONG, Elgin QUEK, Sanford CHU
  • Publication number: 20090308440
    Abstract: A method of forming a solar cell, the method comprising: providing a semiconducting wafer having a pre-doped region; performing a first ion implantation of a dopant into the semiconducting wafer to form a first doped region over the pre-doped region, wherein the first ion implantation has a concentration-versus-depth profile; and performing a second ion implantation of a dopant into the semiconducting wafer to form a second doped region over the pre-doped region, wherein the second ion implantation has a concentration-versus-depth profile different from that of the first ion implantation, wherein at least one of the first doped region and the second doped region is configured to generate electron-hole pairs upon receiving light, and wherein the first and second ion implantations are performed independently of one another.
    Type: Application
    Filed: June 11, 2009
    Publication date: December 17, 2009
    Applicant: SOLAR IMPLANT TECHNOLOGIES INC.
    Inventors: Babak Adibi, Edward S. Murrer
  • Publication number: 20090308439
    Abstract: A solar cell device and method of making are provided. The device includes a silicon substrate including a preexisting dopant. A homogeneous lightly doped region is formed on a surface of the silicon substrate to form a junction between the preexisting dopant and the lightly doped region. A heavily doped region is selectively implanted on the surface of the silicon substrate. A seed layer is formed over the heavily doped region. A metal contact is formed over the seed layer. The device can include an anti-reflective coating. In one embodiment, the heavily doped region forms a parabolic shape. The heavily doped regions can each be a width on the silicon substrate a distance in the range 50 to 200 microns. Also, the heavily doped regions can be laterally spaced on the silicon substrate a distance in the range 1 to 3 mm from each other. The seed layer can be a silicide.
    Type: Application
    Filed: June 11, 2009
    Publication date: December 17, 2009
    Applicant: SOLAR IMPLANT TECHNOLOGIES INC.
    Inventors: Babak Adibi, Edward S. Murrer
  • Patent number: 7629666
    Abstract: A partially completed multi-layered substrate, e.g., silicon on silicon. The substrate has a thickness of material from a first substrate. The thickness of material comprises a first face region. The substrate has a second substrate having a second face region. Preferably, the first face region of the thickness of material is joined to the second face region of the second substrate. The substrate has an interface region formed between the first face region of the thickness of material and the second face region of the second substrate. A plurality of particles are implanted within a portion of the thickness of the material and a portion of the interface region to electrically couple a portion of the thickness of material to a portion of the second substrate.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: December 8, 2009
    Assignee: Silicon Genesis Corporation
    Inventor: Francois J. Henley
  • Patent number: 7629184
    Abstract: A method of manufacturing semiconductor wafers is provided that comprises processing a semiconductor wafer to form at least one temperature-sensing RF device on the wafer and further processing the wafer to form a plurality of semiconductor products on the wafer while sensing temperature on the wafer with the formed RF device and wirelessly transmitting data from the RF device. Semiconductor wafers made according to the method are provided having at least one active RFID temperature-sensing device and semiconductor device products formed thereon. The RFID devices are located on portions of the wafer that are disposable when the semiconductor device products are cut from the wafers. A semiconductor wafer processing apparatus is provided having an RF antenna and transmitter and receiver circuits that communicate with RF devices on a wafer during processing.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 8, 2009
    Assignee: Tokyo Electron Limited
    Inventor: John M. Kulp
  • Patent number: 7629275
    Abstract: A method of forming an integrated circuit is provided. The method includes performing a multiple-time flash anneal process to a wafer, wherein the multiple-time flash anneal process comprises preheating the wafer to a first preheat temperature; performing a first flash on the wafer with a first flash energy; preheating the wafer to a second preheat temperature; and performing a second flash on the wafer with a second flash energy.
    Type: Grant
    Filed: January 25, 2007
    Date of Patent: December 8, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jennifer Chen, Chi-Chun Chen, Hun-Jan Tao
  • Publication number: 20090298270
    Abstract: A method for producing a semiconductor is disclosed. One embodiment provides a p-doped semiconductor body having a first side and a second side. An n-doped zone is formed in the semiconductor body by implantation of protons into the semiconductor body via the first side down to a specific depth of the semiconductor body and by subsequent heating at least of the proton-implanted region of the semiconductor body. A pn junction arises in the semiconductor body. The second side of the semiconductor body is removed at least as far as a space charge zone spanned at the pn junction.
    Type: Application
    Filed: May 29, 2009
    Publication date: December 3, 2009
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Helmut Strack, Hans-Joerg Timme, Wolfgang Werner
  • Patent number: 7622372
    Abstract: Vacancies and dopant ions are introduced near the surface of a semiconductor layer structure. Implanted dopant ions which diffuse by an interstitialcy mechanism have diffusivity greatly reduced, which leads to a very low resistivity doped region and a very shallow junction.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: November 24, 2009
    Inventors: Wei-Kan Chu, Lin Shao
  • Publication number: 20090283828
    Abstract: A method, gated device and design structure are presented for providing reduced floating body effect (FBE) while not impacting performance enhancing stress. One method includes forming damage in a portion of a substrate adjacent to a gate; removing a portion of the damaged portion to form a trench, leaving another portion of the damaged portion at least adjacent to a channel region; and substantially filling the trench with a material to form a source/drain region.
    Type: Application
    Filed: May 15, 2008
    Publication date: November 19, 2009
    Inventors: William F. Clark, JR., Toshiharu Furukawa, Xuefeng Hua, Charles W. Koburger, III, Robert R. Robison
  • Publication number: 20090280630
    Abstract: This invention proposes a method for making very low threshold voltage (Vt) metal-gate/high-? CMOSFETs using novel self-aligned low-temperature ultra shallow junctions with gate-first process compatible with VLSI. At 1.2 nm equivalent-oxide thickness (EOT), good effective work-function of 5.3 and 4.1 eV, low Vt of +0.05 and 0.03 V, high mobility of 90 and 243 cm2/Vs, and small 85° C. bias-temperature-instability<32 mV (10 MV/cm, 1 hr) are measured for p- and n-MOS.
    Type: Application
    Filed: July 8, 2008
    Publication date: November 12, 2009
    Applicant: National Chiao Tung University
    Inventor: Albert Chin
  • Patent number: 7615471
    Abstract: The invention relates to a method for producing a tensioned layer on a substrate involving the following steps: producing a defect area in a layer adjacent to the layer to be tensioned, and; relaxing at least one layer adjacent to the layer to be tensioned. Additional layers can be epitaxially deposited. Layer structures formed in this manner are advantageously suited for components of all types.
    Type: Grant
    Filed: April 8, 2004
    Date of Patent: November 10, 2009
    Assignee: Forschungszentrum Julich GmbH
    Inventor: Siegfried Mantl
  • Patent number: 7612384
    Abstract: A process is disclosed for forming a reflective electrode on a semiconductor light emitting device, the light emitting device having an active layer for generating light and a cladding layer in electrical contact with the active layer. The process involves depositing an intermediate layer of electrically conductive material on the cladding layer and causing at least a portion of the electrically conductive material to diffuse into the cladding layer. The process further involves depositing a reflective layer on the intermediate layer, the reflective layer being electrically conductive and in electrical contact with the intermediate layer.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: November 3, 2009
    Assignee: Philips Lumileds Lighting Company, LLC
    Inventor: Ling Zhou
  • Patent number: 7611976
    Abstract: Embodiments of the invention generally provide a method for forming a doped silicon-containing material on a substrate. In one embodiment, the method provides depositing a polycrystalline layer on a dielectric layer and implanting the polycrystalline layer with a dopant to form a doped polycrystalline layer having a dopant concentration within a range from about 1×1019 atoms/cm3 to about 1×1021 atoms/cm3, wherein the doped polycrystalline layer contains silicon or may contain germanium, carbon, or boron. The substrate may be heated to a temperature of about 800° C. or higher, such as about 1,000° C., during the rapid thermal anneal. Subsequently, the doped polycrystalline layer may be exposed to a laser anneal and heated to a temperature of about 1,000° C. or greater, such within a range from about 1,050° C. to about 1,400° C., for about 500 milliseconds or less, such as about 100 milliseconds or less.
    Type: Grant
    Filed: July 5, 2006
    Date of Patent: November 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Yi Ma, Khaled Z. Ahmed, Kevin L. Cunningham, Robert C. McIntosh, Abhilash J. Mayur, Haifan Liang, Mark Yam, Toi Yue Becky Leung, Christopher Olsen, Shulin Wang, Majeed Foad, Gary Eugene Miner
  • Patent number: 7595261
    Abstract: A method of manufacturing a semiconductor device, which has a gate electrode and a pair of diffusion layers formed in a semiconductor substrate on sides of the gate electrode, includes forming an insulating film and a gate electrode on a semiconductor substrate, obtaining a thickness of an affected layer formed in a surface of the semiconductor substrate, forming a pair of diffusion layers by injecting an impurity element into the semiconductor substrate in areas flanking the gate electrodes based on a predetermined injection parameter, performing activating heat treatment based on a predetermined heat treatment parameter, and a parameter deriving step provided between the obtaining step and the diffusion layer forming step, the parameter deriving step deriving the injection parameter or heat treatment parameter in response to the obtained thickness of the affected layer such that the diffusion layers are set to a predetermined sheet resistance.
    Type: Grant
    Filed: October 7, 2005
    Date of Patent: September 29, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Hikaru Kokura