Including Heat Treatment Patents (Class 438/530)
  • Publication number: 20120115318
    Abstract: Techniques for low temperature ion implantation are provided to improve the throughput. During a low temperature ion implantation, an implant process may be started before the substrate temperature is decreased to be about to a prescribed implant temperature by a cooling process, and a heating process may be started to increase the substrate temperature before the implant process is finished. Moreover, one or more temperature adjust process may be performed during one or more portion of the implant process, such that the substrate temperature may be controllably higher than the prescribe implant temperature during the implant process.
    Type: Application
    Filed: January 17, 2012
    Publication date: May 10, 2012
    Applicant: ADVANCED ION BEAM TECHNOLOGY, INC.
    Inventors: JOHN D. POLLOCK, ZHIMIN WAN, ERIK COLLART
  • Publication number: 20120112270
    Abstract: A buried junction is formed in a vertical transistor of a semiconductor device. Wall bodies are formed from a semiconductor substrate, the wall bodies protruding while having a first side surface and a second side surface in the opposite side of the first side surface; forming a one side contact mask having an opening which selectively opens a portion of the first side surface of the wall body; and forming a first impurity layer and a second impurity layer surrounding the first impurity layer by diffusing impurities having different diffusivities into the portion of the first side surface exposed to the opening.
    Type: Application
    Filed: October 4, 2011
    Publication date: May 10, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Eun Shil PARK, Yong Seok EUN, Kyong Bong ROUH
  • Patent number: 8163636
    Abstract: Method of preparing p-type doped ZnO or p-type doped ZnMgO, in which the following successive steps are carried out: a) implantation of O+ oxygen ions in an n-type doped ZnO or an n-type doped ZnMgO; b) first annealing at a temperature less than or equal to 1200° C. under oxygen for a time greater than or equal to 5 minutes; c) implantation of at least one ion of an element chosen among the elements of group I or the elements of group V of the periodic table; d) second annealing. The p-type doped ZnO or ZnMgO obtained by this method may be used in an optoelectronic device such as a light emitting diode.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: April 24, 2012
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Céline Chevalier
  • Patent number: 8163587
    Abstract: A method of forming a multi-doped junction on a substrate is disclosed. The method includes providing the substrate doped with boron atoms, the substrate comprising a front substrate surface, and depositing an ink on the front substrate surface in an ink pattern, the ink comprising a set of nanoparticles and a set of solvents. The method further includes heating the substrate in a baking ambient to a first temperature of between about 200° C. and about 800° C. and for a first time period of between about 3 minutes and about 20 minutes in order to create a densified film ink pattern. The method also includes exposing the substrate to a dopant source in a diffusion furnace with a deposition ambient, the deposition ambient comprising POCl3, a carrier N2 gas, a main N2 gas, and a reactive O2 gas, wherein a ratio of the carrier N2 gas to the reactive O2 gas is between about 1:1 to about 1.5:1, at a second temperature of between about 700° C. and about 1000° C.
    Type: Grant
    Filed: July 21, 2009
    Date of Patent: April 24, 2012
    Assignee: Innovalight, Inc.
    Inventors: Giuseppe Scardera, Dmitry Poplavskyy, Michael Burrows, Sunil Shah
  • Patent number: 8163637
    Abstract: First, a first layer made of Ni or an alloy including Ni may be formed on an upper surface of a semiconductor layer. Next, a second layer made of silicon oxide may be formed on an upper surface of the first layer. Next, a part, which corresponds to a semiconductor region, of the second layer may be removed. Next, second conductive type ion impurities may be injected from upper sides of the first and second layers to the semiconductor layer after the removing step.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: April 24, 2012
    Assignees: Toyota Jidosha Kabushiki Kaisha, Denso Corporation
    Inventors: Masaki Konishi, Hirokazu Fujiwara, Takeshi Endo, Takeo Yamamoto, Takashi Katsuno, Yukihiko Watanabe
  • Publication number: 20120088356
    Abstract: An integrated platform for processing substrates, comprising: a vacuum substrate transfer chamber; a doping chamber coupled to the vacuum substrate transfer chamber, the doping chamber configured to implant or deposit dopant elements in or on a surface of a substrate; a dopant activation chamber coupled to the vacuum substrate transfer chamber, the dopant activation chamber configured to anneal the substrate and activate the dopant elements; and a controller configured to control the integrated platform, the controller comprising a computer readable media having instructions stored thereon that, when executed by the controller, causes the integrated platform to perform a method, the method comprising: doping a substrate with one or more dopant elements in the doping chamber; transferring the substrate under vacuum to the dopant activation chamber; and annealing the substrate in the dopant activation chamber to activate the dopant elements.
    Type: Application
    Filed: September 7, 2011
    Publication date: April 12, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: KARTIK SANTHANAM, MARTIN A. HILKENE, MATTHEW D. SCOTNEY-CASTLE, PETER I. PORSHNEV, SWAMINATHAN SRINIVASAN, SUNDAR RAMAMURTHY
  • Publication number: 20120083103
    Abstract: Defects in a semiconductor substrate due to ion implantation are minimized by forming an implant region in the semiconductor substrate and subjecting the semiconductor substrate to a first anneal to recrystallize the semiconductor substrate. The semiconductor substrate is subjected to a second anneal to suppress diffusion of implanted ions in the semiconductor substrate. The first anneal being at a lower temperature and longer duration than the second anneal.
    Type: Application
    Filed: September 30, 2010
    Publication date: April 5, 2012
    Inventors: Lucian Shifren, Taiji Ema
  • Publication number: 20120077304
    Abstract: A method for forming an impurity layer, includes forming a resist material 16 on a surface portion of a semiconductor substrate 15; exposing the resist material using a grating mask 10 comprising a light transmission region 11 including a plurality of unit light transmission regions 14 being arranged two-dimensionally, each being composed of a plurality of minute partial sections 13A to 13D having different transmittance; forming a resist layer 18 on the surface of the semiconductor substrate 15 by developing the exposed resist material, the resist layer including a thin film region 17 having a film thickness corresponding to the transmittance of the light transmission region; implanting ions to the semiconductor substrate 15 via the thin film region; and diffusing ion groups 21A?, 21B?, 21C?, and 21D? that are implanted at the same depth such that the ion groups are coupled in a lateral direction.
    Type: Application
    Filed: September 6, 2011
    Publication date: March 29, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Ken TOMITA, Yoshihiro Obara
  • Publication number: 20120077305
    Abstract: Methods of enabling the use of high wavelength lasers to create shallow melt junctions are disclosed. In some embodiments, the substrate may be preamorphized to change its absorption characteristics prior to the implantation of a dopant. In other embodiments, a single implant may serve to amorphize the substrate and provide dopant. Once the substrate is sufficiently amorphized, a laser melt anneal may be performed. Due to the changes in the absorption characteristics of the substrate, longer wavelength lasers may be used for the anneal, thereby reducing cost.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 29, 2012
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventor: Deepak Ramappa
  • Publication number: 20120068188
    Abstract: A GaN sample in a sealed enclosure is heated very fast to a high temperature above the point where GaN is thermodynamically stable and is then cooled down very fast to a temperature where it is thermodynamically stable. The time of the GaN exposure to a high temperature range above its thermodynamic stability is sufficiently short, in a range of few seconds, to prevent the GaN from decomposing. This heating and cooling cycle is repeated multiple times without removing the sample from the enclosure. As a result, by accumulating the exposure time in each cycle, the GaN sample can be exposed to a high temperature above its point of thermodynamic stability for a long time but the GaN sample integrity is maintained (i.e., the GaN doesn't decompose) due to the extremely short heating duration of each single cycle.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 22, 2012
    Applicant: The Government of the United States of America, as represented by the Secretary of the Navy
    Inventors: Boris N. Feigelson, Travis Anderson, Francis J. Kub
  • Publication number: 20120061681
    Abstract: The mechanisms of forming SiC crystalline regions on Si substrate described above enable formation and integration of GaN-based devices and Si-based devices on a same substrate. The SiC crystalline regions are formed by implanting carbon into regions of Si substrate and then annealing the substrate. An implant-stop layer is used to cover the Si device regions during formation of the SiC crystalline regions.
    Type: Application
    Filed: September 14, 2010
    Publication date: March 15, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kong-Beng THEI, Jiun-Lei Jerry YU, Chun Lin TSAI, Hsiao-Chin TUAN, Alex KALNITSKY
  • Patent number: 8124479
    Abstract: A method for manufacturing a semiconductor device that includes forming a pillar pattern including a sidewall contact over a semiconductor substrate; forming a silicon layer in a lower portion disposed between the pillar patterns; implanting ions into the silicon layer; diffusing the implanted impurity ions into the inside of the pillar pattern to form an ion-implanting region; removing the silicon layer; and burying a conductive material in the lower portion disposed between the pillar patterns. The method can prevent a floating body effect by adding a process of a vertical channel transistor.
    Type: Grant
    Filed: November 16, 2010
    Date of Patent: February 28, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Su Jang
  • Patent number: 8124508
    Abstract: Techniques for low temperature ion implantation are provided to improve the throughput. During a low temperature ion implantation, an implant process may be started before the substrate temperature is decreased to be about to a prescribed implant temperature by a cooling process, and a heating process may be started to increase the substrate temperature before the implant process is finished. Moreover, one or more temperature adjust process may be performed during one or more portion of the implant process, such that the substrate temperature may be controllably higher than the prescribe implant temperature during the implant process.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: February 28, 2012
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: John D. Pollock, Zhimin Wan, Erik Collart
  • Publication number: 20120034769
    Abstract: Semiconductor devices and methods for making such devices are described. The semiconductor devices contain dopant regions that have been formed by low temperature, microwave activation of implanted dopants. In some configurations, the low temperature microwave activation can be used to control the final location of the implant, with or without additional drive-in or implant processes. In some configurations, this control can be used to create heavy body implants. Microwave activation of source regions and well regions in the semiconductor devices can also be used to optimize the implants where supplemental drive-in processes may be necessary to get the required final implant depth. By activating the implanted dopants using lower temperatures, many of the unwanted features introduced into the semiconductor devices by high temperature Rapid Thermal Process (RTP) can be avoided. Other embodiments are described.
    Type: Application
    Filed: August 5, 2011
    Publication date: February 9, 2012
    Inventors: Robert J. Purtell, Dixie Dunn
  • Patent number: 8110431
    Abstract: Solar cells and methods for their manufacture are disclosed. An example method may include providing a p-type doped silicon substrate and introducing n-type dopant to a first and second region of the front surface of the substrate by ion implantation so that the second region is more heavily doped than the first region. The substrate may be subjected to a single high-temperature anneal cycle to activate the dopant, drive the dopant into the substrate, produce a p-n junction, and form a selective emitter. Oxygen may be introduced during the single anneal cycle to form in situ front and back passivating oxide layers. Fire-through of front and back contacts as well as metallization with contact connections may be performed in a single co-firing operation. Associated solar cells are also provided.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: February 7, 2012
    Assignee: Suniva, Inc.
    Inventors: Ajeet Rohatgi, Vijay Yelundur, Vinodh Chandrasekaran, Preston Davis, Ben Damiani
  • Publication number: 20120021593
    Abstract: A method for manufacturing a semiconductor device includes implanting indium into a first region of a semiconductor substrate; forming a first gate insulation film having a first film thickness in the first region and a second region different from the first region after the implanting; removing the first gate insulation film from the first region; applying heat treatment to the semiconductor substrate after the forming; and forming a second gate insulation film having a second film thickness on the first region after the applying. In the method, a temperature falling rate of the heat treatment in the applying is 20° C. per second or higher.
    Type: Application
    Filed: June 14, 2011
    Publication date: January 26, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Mitsuaki HORI, Kazutaka Yoshizawa
  • Publication number: 20120009749
    Abstract: Embodiments relate to a method for fabricating nano-wires in nano-devices, and more particularly to nano-device fabrication using end-of-range (EOR) defects. In one embodiment, a substrate with a surface crystalline layer over the substrate is provided and EOR defects are created in the surface crystalline layer. One or more fins with EOR defects embedded within is formed and oxidized to form one or more fully oxidized nano-wires with nano-crystals within the core of the nano-wire.
    Type: Application
    Filed: July 8, 2010
    Publication date: January 12, 2012
    Applicants: NANYANG TECHNOLOGICAL UNIVERSITY, GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Dexter TAN, Kin Leong PEY, Sai Hooi YEONG, Yoke King CHIN, Kuang Kian ONG, Chee Mang NG
  • Publication number: 20110318910
    Abstract: A method of manufacturing a semiconductor device that sufficiently activates a deep ion injection layer and fully recovers lattice defects generated in the ion injection process. Laser light pulses are successively emitted to form substantially CW (continuous wave) laser light. This feature of the invention stably performs activation of a deep ion injection layer at about 2 ?s with few defects.
    Type: Application
    Filed: June 24, 2011
    Publication date: December 29, 2011
    Applicant: FUJI ELECTRIC CO., LTD.
    Inventors: Haruo NAKAZAWA, Motoyoshi Kubouchi
  • Patent number: 8080454
    Abstract: A method of fabricating a CMOS transistor includes forming strained channels by re-crystallized amorphous polysilicon with the tensile film or the compressive film during annealing. C or Ge ions are optionally used to form solid-phase epitaxy to amplify the stress in the strained channel. Therefore, the charge carrier mobility in a CMOS transistor is improved.
    Type: Grant
    Filed: October 26, 2009
    Date of Patent: December 20, 2011
    Assignee: United Microelectronics Corp.
    Inventors: Wen-Tai Chiang, Chen-Hua Tsai, Cheng-Tzung Tsai, Po-Wei Liu
  • Patent number: 8076663
    Abstract: Methods, devices, and systems associated with phase change memory structures are described herein. One or more embodiments of the present disclosure can reduce thermal crosstalk associated with phase change memory cells, which can provide various benefits including improved data reliability and retention and decreased read and/or write times, among various other benefits. One or more embodiments can reduce the number of processing steps associated with providing local interconnects to phase change memory arrays.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: December 13, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Jun Liu
  • Publication number: 20110298056
    Abstract: A method of forming a low resistance contact structure in a semiconductor device includes forming a doped semiconductor region in a semiconductor substrate; forming a deep level impurity region at an upper portion of the doped semiconductor region; activating dopants in both the doped semiconductor region and the deep level impurity region by annealing; and forming a metal contact over the deep level impurity region so as to create a metal-semiconductor interface therebetween.
    Type: Application
    Filed: June 3, 2010
    Publication date: December 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Tak Hung Ning, Zhen Zhang
  • Patent number: 8071418
    Abstract: Solar cells and methods for their manufacture are disclosed. An example method may include providing a silicon substrate and introducing dopant to one or more selective regions of the front surface of the substrate by ion implantation. The substrate may be subjected to a single high-temperature anneal cycle. Additional dopant atoms may be introduced for diffusion into the front surface of the substrate during the single anneal cycle. A selective emitter may be formed on the front surface of the substrate such that the one or more selective regions of the selective emitter layer are more heavily doped than the remainder of the selective emitter layer. Associated solar cells are also provided.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: December 6, 2011
    Assignee: Suniva, Inc.
    Inventors: Ajeet Rohatgi, Vijay Yelundur, Preston Davis, Vinodh Chandrasekaran, Ben Damiani
  • Patent number: 8067302
    Abstract: A method and apparatus for implanting a semiconductor substrate with boron clusters. A substrate is implanted with octadecaborane by plasma immersion or ion beam implantation. The substrate surface is then melted, resolidified, and annealed to completely dissociate and activate the boron clusters.
    Type: Grant
    Filed: September 21, 2009
    Date of Patent: November 29, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Jiping Li
  • Patent number: 8063453
    Abstract: A gate of a semiconductor device includes a substrate, and a polysilicon layer over the substrate, wherein the polysilicon layer is doped with first conductive type impurities having a concentration that decreases when receding from the substrate and counter-doped with second conductive type impurities having a concentration that increases when receding from the substrate.
    Type: Grant
    Filed: December 30, 2008
    Date of Patent: November 22, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jae-Geun Oh, Jin-Ku Lee, Min-Ae Ju
  • Patent number: 8058157
    Abstract: A semiconductor structure and its method of fabrication include a semiconductor fin located over a substrate. A gate electrode is located over the semiconductor fin. The gate electrode has a first stress in a first region located closer to the semiconductor fin and a second stress which is different than the first stress in a second region located further from the semiconductor fin. The semiconductor fin may also be aligned over a pedestal within the substrate. The semiconductor structure is annealed under desirable stress conditions to obtain an enhancement of semiconductor device performance.
    Type: Grant
    Filed: July 20, 2009
    Date of Patent: November 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Zhijiong Luo
  • Publication number: 20110269302
    Abstract: The invention relates to a method of fabricating a semiconductor device. The method includes: providing a semiconductor substrate and locally heating the semiconductor substrate by using a heated tip structure. Locally heating the semiconductor substrate is carried out to locally modify the electrical properties of the semiconductor substrate. The semiconductor substrate can be implanted with dopants, so that locally heating step causes a local activation of the implanted dopants. Furthermore, the semiconductor substrate can be provided with a dopant layer, so that locally heating step causes dopants to diffuse into the semiconductor substrate.
    Type: Application
    Filed: April 8, 2011
    Publication date: November 3, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Harish Bhaskaran, Mikael T. Bjoerk, Michel Despont, Bernd W. Gotsmann, Heinz Schmid
  • Publication number: 20110263109
    Abstract: In an electrooptical device including an electrooptical modulating layer between a first substrate 101 and a second substrate 105, all edges 107 to 109 of the first substrate 101 and the second substrate 105, except an edge where IC chips 110 and 111 are attached, are trued up each other between the first substrate 101 and the second substrate 105. By this, it is possible to make the area of the first substrate 101 minimum.
    Type: Application
    Filed: July 7, 2011
    Publication date: October 27, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Shunpei YAMAZAKI, Jun KOYAMA, Yoshiharu HIRAKATA, Takeshi FUKUNAGA
  • Patent number: 8043947
    Abstract: A method for semiconductor processing provides a DSB semiconductor body having a first crystal orientation, a second crystal orientation, and a border region disposed between the first and second crystal orientations. The border region further has a defect associated with an interface of the first crystal orientation and second the second crystal orientation, wherein the defect generally extends a distance into the semiconductor body from a surface of the body. A sacrificial portion of the semiconductor body is removed from the surface thereof, wherein removing the sacrificial portion at least partially removes the defect. The sacrificial portion can be defined by oxidizing the surface at low temperature, wherein the oxidation at least partially consumes the defect. The sacrificial portion can also be removed by CMP. An STI feature may be further formed over the defect after removal of the sacrificial portion, therein consuming any remaining defect.
    Type: Grant
    Filed: November 16, 2007
    Date of Patent: October 25, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Angelo Pinto, Weize Xiong, Manfred Ramin
  • Patent number: 8039375
    Abstract: A method for forming a semiconductor structure includes providing a semiconductor substrate; forming a gate stack over the semiconductor substrate; implanting carbon into the semiconductor substrate; and implanting an n-type impurity into the semiconductor substrate to form a lightly doped source/drain (LDD) region, wherein the n-type impurity comprises more than one phosphorous atom. The n-type impurity may include phosphorous dimer or phosphorous tetramer.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: October 18, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Feng Nieh, Keh-Chiang Ku, Nai-Han Cheng, Chi-Chun Chen, Li-Te S. Lin
  • Patent number: 8034689
    Abstract: A method for fabricating a semiconductor device and the device made thereof are disclosed. In one aspect, the method includes providing a substrate comprising a semiconductor material. The method further includes patterning at least one fin in the substrate, the fin comprising a top surface, at least one sidewall surface, and at least one corner. A supersaturation of point defects is created in the at least one fin. The at least one fin is annealed and then cooled down such that semiconductor atoms of the semiconductor material migrate via the point defects.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: October 11, 2011
    Assignees: IMEC, STMicroelectronics (Crolles2) SAS
    Inventors: Damien Lenoble, Rita Rooyackers
  • Publication number: 20110244669
    Abstract: Techniques for low temperature ion implantation are provided to improve the throughput. During a low temperature ion implantation, an implant process may be started before the substrate temperature is decreased to be about to a prescribed implant temperature by a cooling process, and a heating process may be started to increase the substrate temperature before the implant process is finished. Moreover, one or more temperature adjust process may be performed during one or more portion of the implant process, such that the substrate temperature may be controllably higher than the prescribe implant temperature during the implant process.
    Type: Application
    Filed: March 31, 2010
    Publication date: October 6, 2011
    Applicant: ADVANCED ION BEAM TECHNOLOGY, INC.
    Inventors: JOHN D. POLLOCK, ZHIMIN WAN, ERIK COLLART
  • Publication number: 20110233731
    Abstract: A semiconductor device, in which a plurality of crystal defects for controlling the life time of carries are distributed in a silicon substrate, is characterized in that the total number of the crystal defects that cause a trap level that differs from the energy level of the center of the band gap by less than 0.2 eV, is less than the number of the crystal defects that cause the trap level that is the closest to the energy level of the center of the band gap among trap levels that differ from the energy level of the center of the band gap by 0.2 eV or more.
    Type: Application
    Filed: November 9, 2009
    Publication date: September 29, 2011
    Applicant: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Shinya Yamazaki
  • Publication number: 20110237042
    Abstract: Some embodiments include methods in which microwave radiation is used to activate dopant and/or increase crystallinity of semiconductor material during formation of a semiconductor construction. In some embodiments, the microwave radiation has a frequency of about 5.8 gigahertz, and a temperature of the semiconductor construction does not exceed about 500° C. during the exposure to the microwave radiation.
    Type: Application
    Filed: June 7, 2011
    Publication date: September 29, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: John Smythe, Bhaskar Srinivasan, Ming Zhang
  • Patent number: 8026158
    Abstract: Systems and methods process structures on or within a semiconductor substrate using a series of laser pulses. In one embodiment, a deflector is configured to selectively deflect the laser pulses within a processing window. The processing window is scanned over the semiconductor substrate such that a plurality of laterally spaced rows of structures simultaneously pass through the processing window. As the processing window is scanned, the deflector selectively deflects the series of laser pulses among the laterally spaced rows within the processing window. Thus, multiple rows of structures may be processed in a single scan.
    Type: Grant
    Filed: June 1, 2007
    Date of Patent: September 27, 2011
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Kelly J. Bruland, Mark A. Unrath, Douglas E. Holmgren
  • Patent number: 8026135
    Abstract: A process for forming diffused region less than 20 nanometers deep with an average doping dose above 1014 cm?2 in an IC substrate, particularly LDD region in an MOS transistor, is disclosed. Dopants are implanted into a source dielectric layer using gas cluster ion beam (GCIB) implantation, molecular ion implantation or atomic ion implantation resulting in negligible damage in the IC substrate. A spike anneal or a laser anneal diffuses the implanted dopants into the IC substrate. The inventive process may also be applied to forming source and drain (S/D) regions. One source dielectric layer may be used for forming both NLDD and PLDD regions.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: September 27, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Amitabh Jain
  • Patent number: 8021950
    Abstract: Disclosed are embodiments of a semiconductor wafer processing method that allow device regions to be selectively annealed following back end of the line (BEOL) metal wiring formation without degrading wiring layer reliability. In the embodiments, a semiconductor device is formed adjacent to the top surface of a wafer such that it incorporates a selectively placed infrared absorbing layer (IAL). Then, following BEOL metal wiring formation, the bottom surface of the wafer is exposed to an infrared light having a wavelength that is transparent to the wafer. The infrared light is absorbed by and, thereby heats up the IAL to a first predetermined temperature (e.g., a dopant activation temperature, a temperature required for a state change, etc.). The resulting heat is transferred from the IAL to an adjacent region of the semiconductor device without raising the temperature of the metal wiring above a second predetermined temperature (e.g.
    Type: Grant
    Filed: October 26, 2010
    Date of Patent: September 20, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wagdi W. Abadeer, Lilian Kamal, legal representative, John J. Ellis-Monaghan, Jeffrey P. Gambino, Tom C. Lee
  • Patent number: 8017528
    Abstract: A thermal cycle includes: increasing a temperature from an initial temperature to a temperature T1 at an arbitrary rate R1 (° C./sec); holding the temperature at the temperature T1 for an arbitrary period t1 (sec); increasing the temperature from the temperature T1 to a temperature T2 at a rate R2 (° C./sec) of 1.0×107 (° C./sec) or less; and holding the temperature at the temperature T2 for a period t2 (sec) of 50 msec or less. The thermal cycle thereafter includes: decreasing the temperature from the temperature T2 to the temperature T1 at a rate R1? (° C./sec) of 1.0×107 (° C./sec) or less; holding the temperature T1 for an arbitrary period t3 (sec); and decreasing the temperature from the temperature T1 to a final temperature at an arbitrary rate R2? (° C./sec). Such a thermal cycle is successively repeated in a plurality of iterations.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: September 13, 2011
    Assignee: Panasonic Corporation
    Inventors: Kenji Yoneda, Kazuma Takahashi
  • Patent number: 8014895
    Abstract: An object of the present invention is to perform temperature setting of a heating plate so that a wafer is uniformly heated in an actual heat processing time. The temperature of a wafer is measured during a heat processing period from immediately after a temperature measuring wafer is mounted on the heating plate to the time when the actual heat processing time elapses. Whether the uniformity in temperature within the wafer is allowable or not is determined from the temperature of the wafer in the heat processing period, and if the determination result is negative, a correction value for a temperature setting parameter of the heating plate is calculated using a correction value calculation model from the measurement result, and the temperature setting parameter is changed.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: September 6, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Iwanaga, Nobuyuki Sata
  • Publication number: 20110212591
    Abstract: A method for fabricating a transistor of a semiconductor device includes: forming a gate pattern over a substrate; forming a junction region by performing an on implantation process onto the substrate at opposite sides of the gate pattern; performing a solid phase epitaxial (SPE) process on the junction region at a temperature approximately ranging from 770° C. to 850° C.; and performing a rapid thermal annealing (RTA) process on the junction region.
    Type: Application
    Filed: December 9, 2010
    Publication date: September 1, 2011
    Inventors: Jae-Geun OH, Young-Ho Lee, Jin-Ku Lee, Mi-Ri Lee
  • Publication number: 20110212579
    Abstract: An integrated circuit comprises a substrate and a buried dielectric formed in the substrate. The buried dielectric has a first thickness in a first region, a second buried dielectric thickness in a second region, and a step between the first and second regions. A semiconductor layer overlies the buried dielectric.
    Type: Application
    Filed: May 4, 2011
    Publication date: September 1, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hao-Yu Chen, Chang-Yun Chang, Di-Hong Lee, Fu-Liang Yang
  • Publication number: 20110212590
    Abstract: An integrated circuit device and method of fabricating the integrated circuit device is disclosed. According to one of the broader forms of the invention, a method involves providing a semiconductor substrate. A combination of a pre-amorphous implantation process, a high temperature carbon implantation process, and/or an annealing process are performed on the substrate to form a stressor region.
    Type: Application
    Filed: February 26, 2010
    Publication date: September 1, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chii-Ming Wu, Chun-Feng Nieh
  • Patent number: 8009011
    Abstract: An electrically adjustable resistor comprises a resistive polysilicon layer dielectrically isolated from one or more doped semiconducting layers. A tunable voltage is applied to the doped semiconducting layers, causing the resistance of the polysilicon layer to vary. Multiple matched electrically adjustable resistors may be fabricated on a single substrate, tuned by a single, shared doped semiconductor layer, creating matched, tunable resistor pairs that are particularly useful for differential amplifier applications. Multiple, independently adjustable resistors may also be fabricated on a common substrate.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: August 30, 2011
    Assignee: Semtech Corporation
    Inventors: Stuart B. Molin, Paul Nygaard
  • Patent number: 8008175
    Abstract: Methods and apparatus provide for: a first source of plasma (first plasma), which includes a first species of ions, directing the first plasma out along a first axis; a second source of plasma (second plasma), which includes a second, differing, species of ions, directing the second plasma out along a second axis; and an accelerator system in communication with the first and second sources of plasma, and operating to: (i) accelerate the first species of ions at a first magnitude therethrough, and toward a semiconductor wafer, and (ii) simultaneously accelerate the second species of ions at a second magnitude, different from the first magnitude, therethrough, and toward the semiconductor wafer.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: August 30, 2011
    Assignee: Coring Incorporated
    Inventor: Sarko Cherekdjian
  • Patent number: 8008171
    Abstract: Disclosed is a method of providing a poly-Si layer used in fabricating poly-Si TFT's or devices containing poly-Si layers. Particularly, a method utilizing at least one metal plate covering the amorphous silicon layer or the substrate, and applying RTA (Rapid Thermal Annealing) for light illuminating process, then the light converted into heat by the metal plate will further be conducted to the amorphous silicon layer to realize rapid thermal crystallization. Thus the poly-Si layer of the present invention is obtained.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: August 30, 2011
    Assignees: Tatung Company, Tatung University
    Inventors: Chiung-Wei Lin, Yi-Liang Chen
  • Publication number: 20110207310
    Abstract: Embodiments discussed herein relate to processes of producing a field stop zone within a semiconductor substrate by implanting dopant atoms into the substrate to form a field stop zone between a channel region and a surface of the substrate, at least some of the dopant atoms having energy levels of at least 0.15 eV below the energy level of the conduction band edge of semiconductor substrate; and laser annealing the field stop zone.
    Type: Application
    Filed: May 9, 2011
    Publication date: August 25, 2011
    Applicant: Infineon Technologies Austria AG
    Inventors: Hans-Joachim Schulze, Frank Pfirsch, Stephan Voss, Franz-Josef Niedernostheide
  • Patent number: 8003501
    Abstract: A method of doping p-type impurity ions in a dual poly gate, comprising: forming a polysilicon layer doped with n-type impurity ions on a substrate with a gate insulation layer being interposed between the polysilicon layer and the substrate; exposing a region of the polysilicon layer; implementing a first doping of p-type impurity ions into the exposed region of the polysilicon layer by ion implantation so with a projection range Rp to a predetermined depth of the polysilicon layer; and implementing a second doping of p-type impurity ions into the exposed region of the polysilicon layer doped with the p-type impurity ions by plasma doping with a sloped doping profile.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: August 23, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyoung Bong Rouh, Seung Mi Lee
  • Publication number: 20110201188
    Abstract: An improved method of doping a substrate is disclosed. The method is particularly beneficial to the creation of interdigitated back contact (IBC) solar cells. A paste having a dopant of a first conductivity is applied to the surface of the substrate. This paste serves as a mask for a subsequent ion implantation step, allowing ions of a dopant having an opposite conductivity to be introduced to the portions of the substrate which are exposed. After the ions are implanted, the mask can be removed and the dopants may be activated. Methods of using an aluminum-based and phosphorus-based paste are disclosed.
    Type: Application
    Filed: February 16, 2011
    Publication date: August 18, 2011
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Atul Gupta, Nicholas Bateman
  • Publication number: 20110201186
    Abstract: Some embodiments discussed relate to an integrated circuit and methods for making it. In an example, a method can include providing a semiconductor wafer including a fin, and introducing a noise-reducing dopant into a sidewall of the fin.
    Type: Application
    Filed: April 29, 2011
    Publication date: August 18, 2011
    Applicant: Infineon Technologies AG
    Inventor: Domagoj Siprak
  • Patent number: 7981816
    Abstract: An impurity-activating thermal process is performed after a target is subjected to an impurity introduction step. In this thermal process, while a spike RTA process including a holding period for holding a temperature at a predetermined temperature is performed, at least one iteration of millisecond annealing at a temperature higher than the predetermined temperature is performed during the holding period of the spike RTA process.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: July 19, 2011
    Assignee: Panasonic Corporation
    Inventors: Kazuma Takahashi, Kenji Yoneda
  • Patent number: 7981747
    Abstract: A technology is provided to reduce ON-resistance, and the prevention of punch through is achieved with respect to a trench gate type power MISFET. Input capacitance and a feedback capacitance are reduced by forming a groove in which a gate electrode is formed so as to have a depth as shallow as about 1 ?m or less, a p?type semiconductor region is formed to a depth so as not to cover the bottom of the groove, and a p-type semiconductor region higher in impurity concentration than the p?type semiconductor region is formed under a n+type semiconductor region serving as a source region of the trench gate type power MISFET, causing the p-type semiconductor region to serve as a punch-through stopper layer of the trench gate type power MISFET.
    Type: Grant
    Filed: April 27, 2009
    Date of Patent: July 19, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Masaki Shiraishi, Yoshito Nakazawa