Silicide Patents (Class 438/581)
  • Patent number: 7022575
    Abstract: An LDD structure and a silicide layer are formed without a reduction in thickness of a silicon substrate or a carbon contamination. Forming a spacer on a sidewall of a gate electrode is performed in two process steps, i.e. dry-etching and wet-etching. Also, a silicon nitride film used as a buffer film in injection of high dose of impurities is removed by wet-etching. As a result, the reduction in thickness of the silicon substrate and the carbon contamination can be prevented. In addition, variation in depth of the high and low impurity concentration regions and silicide forming region with locations on the wafer can be suppressed because of high selection ratio available with the wet-etching.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: April 4, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Katsuhiko Iizuka, Kazuo Okada, Tomonori Mori, Hiroyuki Dobashi, Hiroyuki Suzuki, Takayoshi Honda, Toshimitsu Taniguchi
  • Patent number: 7005356
    Abstract: A schottky barrier transistor and a method of manufacturing the same are provided. The method includes forming a gate insulating layer and a gate on a substrate, forming a spacer on a sidewall of the gate, and growing a polycrystalline silicon layer and a monocrystalline silicon layer on the gate and the substrate, respectively, using a selective silicon growth. A metal is deposited on the polycrystalline silicon layer and the monocrystalline silicon layer. Then, the metal reacts with silicon of the polycrystalline silicon layer and the monocyrstalline silicon layer to form a self-aligned metal silicide layer. Therefore, selective wet etching for removing an unreacted metal after silicidation can be omitted. Furthermore, etching damage caused during the formation of the spacer can be decreased during the growth of the monocrystalline silicon layer, thereby improving the electrical characteristics of devices.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: February 28, 2006
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Woo Seok Cheong, Seong Jae Lee, Moon Gyu Jang
  • Patent number: 6974737
    Abstract: A CMOS device and method of fabrication are disclosed. The present invention utilizes Schottky barrier contacts for source and/or drain contact fabrication within the context of a CMOS device and CMOS integrated circuits, to eliminate the requirement for halo/pocket implants, shallow source/drain extensions to control short channel effects, well implant steps, and complex device isolation steps. Additionally, the present invention eliminates the parasitic bipolar gain associated with CMOS device operation, reduces manufacturing costs, tightens control of device performance parameters, and provides for superior device characteristics as compared to the prior art. The present invention, in one embodiment, uses a silicide exclusion mask process to form the dual silicide Schottky barrier source and/or drain contact for the complimentary PMOS and NMOS devices forming the CMOS device.
    Type: Grant
    Filed: May 16, 2003
    Date of Patent: December 13, 2005
    Assignee: Spinnaker Semiconductor, Inc.
    Inventors: John P. Snyder, John M. Larson
  • Patent number: 6946680
    Abstract: A liquid crystal display device and a method of fabricating the same are disclosed in the present invention. The liquid crystal display device includes a gate line and a data line crossing each other on a substrate, a pixel electrode at an area defined by the gate line and the data line, a gate electrode connected to the gate line, a source electrode connected to the data line, a drain electrode connected to the pixel electrode, and a semiconductor layer acting as a channel between the source and drain electrode, wherein the data line and the semiconductor layer has a trench formed at a side facing to the drain electrode.
    Type: Grant
    Filed: August 12, 2003
    Date of Patent: September 20, 2005
    Assignee: LG. Philips LCD Co., Ltd
    Inventor: Hyong Wook Jang
  • Patent number: 6921957
    Abstract: A new low forward voltage drop Schottky barrier diode and its manufacturing method are provided. The method includes steps of providing a substrate, forming plural trenches on the substrate, and forming a metal layer on the substrate having plural trenches thereon to form a barrier metal layer between the substrate and the surface metal layer for forming the Schottky barrier diode.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: July 26, 2005
    Assignees: Pyramis Corporation, Delta Electronics, Inc.
    Inventors: Jun Zeng, Ming-Jiang Zhou, Tzong-Shiann Wu
  • Patent number: 6900505
    Abstract: A structure which ensures against deterioration of an underlying silicide layer over which a refractory material layer is deposited by physical vapor deposition (PVD) or chemical vapor deposition (CVD) is realized by first providing a continuous polysilicon layer prior to the refractory material deposition. The continuous polysilicon layer, preferably no thicker than 50 ?, serves a sacrificial purpose and prevents damage to an underlying silicide layer by blocking interaction between any fluorine and the underlying silicide that is released when the refractory material is formed.
    Type: Grant
    Filed: April 19, 2004
    Date of Patent: May 31, 2005
    Assignee: International Business Machines Corporation
    Inventors: Jonanthan D. Chapple-Sokol, Randy W. Mann, William J. Murphy, Jed H. Rankin, Daniel S. Vanslette
  • Patent number: 6887747
    Abstract: There is disclosed a semiconductor device in which a device isolating insulating film is formed in a periphery of a device region of a semiconductor silicon substrate device region. A side wall insulating film formed of a silicon nitride film is formed to cover the periphery of a channel region on the silicon substrate. A Ta2O5 film, and a metal gate electrode are formed inside a trench whose side wall is formed of the side wall insulating film. An interlayer insulating film is formed on the device isolating insulating film. A Schottky source/drain formed of silicide is formed on the silicon substrate in a bottom portion of the trench whose side wall is formed of the side wall insulating film and interlayer insulating film. A source/drain electrode is formed on the Schottky source/drain.
    Type: Grant
    Filed: July 26, 2002
    Date of Patent: May 3, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Yagishita, Kouji Matsuo
  • Patent number: 6869866
    Abstract: A method for manufacturing an integrated circuit having a plurality of semiconductor devices including an n-type field effect transistor and a p-type field effect transistor on a semiconductor wafer by creating a spacer having a first width for the n-type field effect transistor and creating a spacer having a second width for the p-type field effect transistor, the first width being greater than the second width and depositing silicide material on the semiconductor wafer such that tensile mechanical stresses are formed within a channel of the n-type field effect transistor and compressive stresses are formed within a channel of the p-type field effect transistor.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Omer H. Dokumaci, Rajesh Rengarajan, An L. Steegen
  • Patent number: 6867118
    Abstract: A semiconductor substrate has a memory region and a logic region isolated by an isolation insulating film. Plural memory transistors are provided in the form of a matrix in the memory region, and a logic transistor is provided in the logic region. Gate electrodes of memory transistors arranged along the word line direction out of the plural memory transistors are formed as a common gate electrode extending along the word line direction, and impurity diffusion layers working as source/drain regions of memory transistors arranged along the bit line direction are formed as a common impurity diffusion layer extending along the bit line direction. An inter-gate insulating film having its top face at a lower level than the gate electrodes is formed on the semiconductor substrate between the gate electrodes of the plural memory transistors. A sidewall insulating film is formed on the side face of a gate electrode of the logic transistor.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: March 15, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Fumihiko Noro
  • Patent number: 6864178
    Abstract: A method of making a MOS transistor is disclosed. The disclosed techniques can completely transform a polysilicon gate electrode into a metal silicide electrode through a brief thermal treatment process by extending the contact area between the polysilicide gate electrode and a metal layer prior to a formation of a metal silicide.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: March 8, 2005
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Young Pil Kim
  • Patent number: 6852612
    Abstract: The semiconductor device of the present invention includes: a gallium nitride (GaN) compound semiconductor layer; and a Schottky electrode formed on the GaN compound semiconductor layer, wherein the Schottky electrode contains silicon.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: February 8, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Katsunori Nishii, Yoshito Ikeda, Hiroyuki Masato, Kaoru Inoue
  • Patent number: 6841453
    Abstract: A process for manufacturing an integrated device comprises the steps of: forming, in a first wafer of semiconductor material, integrated structures including semiconductor regions and isolation regions; forming, on a second wafer of semiconductor material, interconnection structures of a metal material including plug elements having at least one bonding region of a metal material capable of reacting with the semiconductor regions of the first wafer; and bonding the first and second wafers together by causing the bonding regions of the plug elements to react directly with the semiconductor regions so as to form a metal silicide. Thereby, the metallurgical operations for forming the interconnection structures are completely independent of the operations required for processing silicon, so that there is no interference whatsoever between the two sets of operations.
    Type: Grant
    Filed: December 19, 2001
    Date of Patent: January 11, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventor: Ubaldo Mastromatteo
  • Patent number: 6835619
    Abstract: A method of forming a memory transistor includes providing a substrate comprising semiconductive material and forming spaced-apart source/drain structures. At least one of the source/drain structures forms a Schottky contact to the semiconductive material. The method also includes forming a memory gate between the spaced-apart source/drain structures and forming a control gate disposed operatively over the memory gate.
    Type: Grant
    Filed: August 8, 2002
    Date of Patent: December 28, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Kirk D. Prall
  • Patent number: 6812121
    Abstract: A process for forming a low resistivity titanium silicide layer on the surface of a silicon semiconductor substrate. In the process, an effective amount of a metallic element such as indium, gallium, tin, or lead is implanted or deposited on the surface of the silicon substrate. A titanium layer is deposited on the surface of the silicon substrate, and a rapid thermal annealing of the titanium-coated silicon substrate is performed to form low resistivity titanium silicide. In preferred processes, the metallic element is indium or gallium, and more preferably the metallic element is indium. A semiconductor device that has a titanium silicide layer on the surface of a silicon substrate is also provided.
    Type: Grant
    Filed: May 16, 2001
    Date of Patent: November 2, 2004
    Assignees: STMicroelectronics S.A., Koninklijke Philips Electronics N.V.
    Inventors: Eric Gerritsen, Bruno Baylac, Marie-Thérèse Basso
  • Publication number: 20040203220
    Abstract: A solar cell comprises a substrate, and a metal electrode layer, a p-i-n junction, and a transparent electrode layer which are successively laminated on the substrate. The p-i-n junction comprises an n layer, an i layer, and a p layer which are laminated in this order. The i layer is made of an amorphous iron silicide film containing hydrogen in accordance with the present invention, and is formed on the n layer by supplying an iron vapor into a plasma of a material gas in which a silane type gas and a hydrogen gas are mixed. In the i layer, dangling bonds of silicon atoms and/or iron atoms are terminated with hydrogen, whereby a number of trap levels which may occur in the amorphous iron silicide film are eliminated.
    Type: Application
    Filed: January 14, 2004
    Publication date: October 14, 2004
    Applicants: TDK Corporation, Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hisao Morooka, Hiroshi Yamada, Kazuo Nishi
  • Patent number: 6767812
    Abstract: Before deposition of a CVD titanium film on a cobalt silicide layer, an element which reacts with titanium is provided in the cobalt silicide layer in advance. Thereafter, the CVD titanium film is deposited on the cobalt silicide using a titanium tetrachloride gas.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: July 27, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Kazuhide Abe, Yusuke Harada
  • Patent number: 6727165
    Abstract: Provided is a process for forming a semiconductor device having salicided contacts. A concentration of metal is formed at the substrate surface by exposing the substrate to a metal plasma. The concentration of metal is then annealed to produce a salicided contact. In a separate embodiment, the metallization plasma and salicide anneal occur in-situ in one process step.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: April 27, 2004
    Assignee: LSI Logic Corporation
    Inventors: Helmut Puchner, Ming-Yi Lee
  • Patent number: 6723657
    Abstract: A method for the fabrication of a gate stack, in particular in very large scale integrated semiconductor memories, uses a combination of a damascene process and a CMP process to produce a gate stack which includes a polysilicon section, a silicide section and a covering-layer section thereabove. The gate stack can be fabricated by using conventional materials, has a very low sheet resistance of <1 ohm/unit area and may carry self-aligning contact sections.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: April 20, 2004
    Assignee: Infineon Technologies AG
    Inventor: Arkalgud Sitaram
  • Patent number: 6720582
    Abstract: A laser diode module in which a laser diode and an optical fiber are optically coupled with each other efficiently irrespective of an ambient temperature change within the laser diode module. The laser diode module includes a laser diode, an optical system, an optical system mounting member supporting at least a portion of the optical system, a laser diode mounting member, and a bottom plate supporting the laser diode, the optical system, the optical system mounting member, and the laser diode mounting member. The optical system receives and transmits a beam emitted from the laser diode through a lens portion to an optical fiber. The optical system mounting member is attached to the laser diode mounting member. The laser diode module preferably includes a thermo module having a first plate member attached to the laser diode mounting member.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: April 13, 2004
    Assignee: The Furukawa Electric Co., Ltd.
    Inventors: Jun Miyokawa, Yuichiro Irie, Etsuji Katayama, Kaoru Sekiguchi, Kiyokazu Tateno
  • Patent number: 6696354
    Abstract: A method of forming a salicide. A metal layer is formed on a silicon-based substrate comprising a gate with a spacer on the side wall of the gate and a source/drain is provided. Next, a first thermal treatment is performed to make the portions of the metal layer react with the silicon in the gate and the source/drain to form a salicide. Then, any unreacted metal and the spacer are removed. An ion containing silicon is introduced into the source/drain. Finally, a second thermal treatment is performed.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: February 24, 2004
    Assignee: Silicon Integrated Systems Corp.
    Inventor: Chao-Yuan Huang
  • Publication number: 20030235936
    Abstract: A CMOS device and method of fabrication are disclosed. The present invention utilizes Schottky barrier contacts for source and/or drain contact fabrication within the context of a CMOS device and CMOS integrated circuits, to eliminate the requirement for halo/pocket implants, shallow source/drain extensions to control short channel effects, well implant steps, and complex device isolation steps. Additionally, the present invention eliminates the parasitic bipolar gain associated with CMOS device operation, reduces manufacturing costs, tightens control of device performance parameters, and provides for superior device characteristics as compared to the prior art. The present invention, in one embodiment, uses a silicide exclusion mask process to form the dual silicide Schottky barrier source and/or drain contact for the complimentary PMOS and NMOS devices forming the CMOS device.
    Type: Application
    Filed: May 16, 2003
    Publication date: December 25, 2003
    Inventors: John P. Snyder, John M. Larson
  • Publication number: 20030228742
    Abstract: A method of forming a salicide. A metal layer is formed on a silicon-based substrate comprising a gate with a spacer on the side wall of the gate and a source/drain is provided. Next, a first thermal treatment is performed to make the portions of the metal layer react with the silicon in the gate and the source/drain to form a salicide. Then, any unreacted metal and the spacer are removed. An ion containing silicon is introduced into the source/drain. Finally, a second thermal treatment is performed.
    Type: Application
    Filed: April 25, 2002
    Publication date: December 11, 2003
    Inventor: Chao-Yuan Huang
  • Patent number: 6642592
    Abstract: A semiconductor device and method for fabricating the same which improves reliability of the semiconductor device is disclosed. The semiconductor device includes: a first insulating film and a gate electrode sequentially formed on a part of a semiconductor substrate; a first insulating spacer formed at both sides above the gate electrode; a second insulating spacer formed at both sides below the gate electrode; and a cobalt silicide film formed on a surface of the gate electrode at a predetermined depth.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: November 4, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jong Uk Bae, Ji Soo Park, Bong Soo Kim
  • Patent number: 6632740
    Abstract: Sub-micron dimensioned, ultra-shallow junction MOS and/or CMOS transistor devices are fomxed by a salicide process wherein a blanket nickel layer is formed in contact with the exposed portions of the substrate surface adjacent the sidewall spacers, the top surface of the gate electrode, and the sidewall spacers. Embodiments include forming the blanket layer of nickel is formed by the sequential steps of: (i) forming a layer of nickel by sputtering with nitrogen gas; and, (ii) forming a layer of nickel by sputtering with argon gas. The two step process for forming the blanket layer of nickel advantageously prevents the formation of nickel silicide on the outer surfaces of the insulative sidewall spacers.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: October 14, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jacques J. Bertrand, George J. Kluth
  • Patent number: 6599832
    Abstract: Silicide interfaces for integrated circuits, thin film devices, and backend integrated circuit testing devices are formed using a barrier layer, such as titanium nitride, disposed over a porous, thin dielectric layer which is disposed between a silicon-containing substrate and a silicidable material which is deposited to form the silicide interfaces for such devices. The barrier layer prevents the formation of a silicide material within imperfections or voids which form passages through the thin dielectric layer when the device is subjected to a high temperature anneal to form the silicide contact from the reaction of the silicidable material and the silicon-containing substrate.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: July 29, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Y. Jeff Hu
  • Patent number: 6579783
    Abstract: Embodiments of the present invention generally relate to processes of making an improved salicide-gate. One embodiment of a method for forming a feature on a substrate comprises forming a gate structure on a substrate; forming spacers by the sidewalls of the gate; and depositing a relatively thin metal film, such as cobalt or titanium, over the gate at a high temperature.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: June 17, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Dinesh Saigal, Shuk Ying Lai
  • Publication number: 20030096491
    Abstract: A protective layer is formed on a metallic layer prior to forming a metallic silicide layer, and the protective layer has a thickness thicker than that of the metallic layer.
    Type: Application
    Filed: October 30, 2002
    Publication date: May 22, 2003
    Inventor: Kazuya Hizawa
  • Patent number: 6555453
    Abstract: Semiconductor devices having fully metal silicided gate electrodes, and methods for making the same, are disclosed. The devices have shallow S/D extensions with depths of less than about 500 Å. The methods for making the subject semiconductor devices employ diffusion of dopant from metal suicides to form shallow S/D extensions, followed by high energy implantation and activation, and metal silicidation to form S/D junctions having metal silicide connect regions and a fully metal silicided electrode.
    Type: Grant
    Filed: January 29, 2002
    Date of Patent: April 29, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Christy Mei-Chu Woo, George J. Kluth
  • Patent number: 6555424
    Abstract: The present invention discloses a thin film transistor with sub-gates and Schottky source/drain and a method of manufacturing the same. Doping of source/drain, and the following annealing steps used conventionally are omitted and the complexity of process and process costs are reduced. The temperature of the process is also decreased. A thin film transistor with sub-gates and Schottky source/drain of the invention is able to operate in both the n type and p type channel modes on the same transistor element depending on the biased voltage of the sub-gate. Moreover, an electric junction is formed by induction, using bias voltage applied on the sub-gate, which takes the place of the conventional source/drain extensions. Consequently, the off-state leakage current is reduced.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: April 29, 2003
    Assignee: S. M. Sze
    Inventors: Horng-Chih Lin, Ming-Shih Tsai, Tiao-Yuan Huang
  • Patent number: 6551911
    Abstract: A method for producing Schottky diodes having a protective ring in an edge region of a Schottky contact. The protective ring is produced by a protective ring material that is deposited onto a surface of a semiconductor layer, which surface is provided with a patterned masking layer beforehand, and the protective ring material subsequently being siliconized. In this case, the protective ring material constitutes a metal, in particular a high barrier metal, which has, in particular, platinum.
    Type: Grant
    Filed: January 26, 2000
    Date of Patent: April 22, 2003
    Assignee: Infineon Technologies AG
    Inventors: Reinhard Losehand, Hubert Werthmann
  • Patent number: 6537900
    Abstract: In a method for fabricating a high-epsilon dielectric/ferroelectric capacitor, a patterning layer with a central base layer zone and a Si-filled trench laterally surrounding the latter is produced. Above that, a metal layer is deposited and is silicided above the Si-filled trench. Through oxidation of the silicided metal layer section the latter migrates into the trench and a base electrode is formed above the base layer zone.
    Type: Grant
    Filed: October 29, 2001
    Date of Patent: March 25, 2003
    Assignee: Infineon Technologies AG
    Inventors: Carlos Mazuré-Espejo, Volker Weinrich, Günther Schindler
  • Publication number: 20030032270
    Abstract: The invention is directed to a fabrication method for a device for regulating the flow of electric current with high dielectric constant gate insulating layer and a source and/or drain forming a Schottky contact or Schottky-like region with a substrate. In one aspect, the gate insulating layer has a dielectric constant greater than the dielectric constant of silicon. In another aspect, the current regulating device may be a MOSFET device, optionally a planar P-type or N-type MOSFET, having any orientation. In another aspect, the source and/or drain may consist partially or fully of a silicide.
    Type: Application
    Filed: August 10, 2001
    Publication date: February 13, 2003
    Inventors: John Snyder, John Larson
  • Patent number: 6506670
    Abstract: A method for making a gate in an integrated circuit. A gate layer is formed on a substrate, and a blocking layer is formed on the gate layer. The blocking layer is masked with a photoresist layer, and the photoresist layer is developed to define an exposed gate area. The blocking layer is etched in the gate area to expose the gate layer in the gate area, and the photoresist layer is removed. A metal layer is formed on the blocking layer and on the gate layer in the gate area. The metal layer is selectively reacted with the gate layer in the gate area to form a hard mask over the gate layer in the gate area. The metal layer is removed from the blocking layer. The blocking layer is selectively etched without substantially etching the hard mask in the gate area, to expose the gate layer surrounding the gate area. The exposed gate layer is etched to define a gate in the gate area. The hard mask remains on the gate, and functions as an electrical contact to the gate.
    Type: Grant
    Filed: May 25, 2001
    Date of Patent: January 14, 2003
    Assignee: LSI Logic Corporation
    Inventor: Philippe Schoenborn
  • Patent number: 6455404
    Abstract: A semiconductor device and method for fabricating the same which improves reliability of the semiconductor device is disclosed. The semiconductor device includes: a first insulating film and a gate electrode sequentially formed on a part of a semiconductor substrate; a first insulating spacer formed at both sides above the gate electrode; a second insulating spacer formed at both sides below the gate electrode; and a cobalt silicide film formed on a surface of the gate electrode at a predetermined depth.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: September 24, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jong Uk Bae, Ji Soo Park, Bong Soo Kim
  • Patent number: 6455361
    Abstract: A gate electrode rectangular in section is formed by patterning on a GaAs substrate as a compound substrate having a channel layer. Subsequently, a specific metal, e.g., Ti is deposited. A solid-phase reaction layer to serve as source/drain is formed in a self-alignment manner with the gate electrode by a thermal treatment. The part of the Ti film which has not been reacted is then removed. Thus the source/drain (or at least one of them) are very easily formed to a shallow junction depth without using any ion implantation process. Realized is a semiconductor device showing an excellent device characteristics, capable of suppressing occurrence of short-channel effect even in its shortened gate length for reducing the device size.
    Type: Grant
    Filed: August 10, 2000
    Date of Patent: September 24, 2002
    Assignee: Fujitsu Limited
    Inventors: Mizuhisa Nihei, Yuu Watanabe
  • Patent number: 6448162
    Abstract: A method for producing a Schottky diode formed of a doped guard ring in an edge area of the Schottky contact is described. The guard ring is produced by depositing a high barrier material, especially made of platinum, on the surface of the semiconductor layer. The surface is provided with a structured masking layer beforehand, and which is subsequently etch-backing.
    Type: Grant
    Filed: November 27, 2000
    Date of Patent: September 10, 2002
    Assignee: Infineon Technologies AG
    Inventors: Reinhard Losehand, Hubert Werthmann
  • Publication number: 20020123183
    Abstract: Structures and methods for fabricating high speed digital, analog, and combined digital/analog systems using planarized relaxed SiGe as the materials platform. The relaxed SiGe allows for a plethora of strained Si layers that possess enhanced electronic properties. By allowing the MOSFET channel to be either at the surface or buried, one can create high-speed digital and/or analog circuits. The planarization before the device epitaxial layers are deposited ensures a flat surface for state-of-the-art lithography.
    Type: Application
    Filed: July 16, 2001
    Publication date: September 5, 2002
    Inventor: Eugene A. Fitzgerald
  • Patent number: 6444553
    Abstract: Method and apparatus are provided for a semiconductor device including a junction and contact having a diffusion barrier to control silicidation of a silicon substrate. A dopant is applied in excess of an amount required to form a junction and the dopant chemically reacts with a metal to form a compound which serves as a barrier layer to prevent silidication in the substrate.
    Type: Grant
    Filed: September 15, 1997
    Date of Patent: September 3, 2002
    Assignee: University of Houston
    Inventors: Wanda Zagozdson-Wosik, Jia Li
  • Publication number: 20020106875
    Abstract: A method for manufacturing a transistor includes providing a transistor assembly having a semiconductor layer with a first surface, a dielectric layer disposed on the first surface, a gate electrode disposed on the dielectric layer, an insulation layer adjacent at least part of the gate electrode, and a nitride spacer layer adjacent at least part of the insulation layer. The method also includes depositing, on part of the first surface, a material that will react with the semiconductor layer to form silicide and removing the unreacted material. The method further includes etching the nitride spacer layer, depositing a pre-metal spacer layer adjacent at least part of the nitride spacer layer and at least part of the first surface, etch removing a portion of the pre-metal spacer layer to expose part of the silicided portion of the first surface, and forming a contact with the silicided portion of the first surface.
    Type: Application
    Filed: February 5, 2002
    Publication date: August 8, 2002
    Inventors: Keith A. Joyner, Mark S. Rodder
  • Publication number: 20020098688
    Abstract: A semiconductor device having a self-aligned contact hole is formed by providing a side wall oxide film on a gate electrode, covering the gate electrode and the side wall oxide film by an oxide film and further covering the oxide film by a nitride film, wherein the oxide film is formed by a plasma CVD process with a reduced plasma power such that the H2O content in the oxide film is less than about 2.4 wt %.
    Type: Application
    Filed: March 20, 1998
    Publication date: July 25, 2002
    Inventors: KOUSUKE SUZUKI, KATSUYUKI KARAKAWA
  • Publication number: 20020086505
    Abstract: A MOSFET includes a double silicided source/drain structure wherein the source/drain terminals include a silicided source/drain extension, a deep silicided source/drain region, and a doped semiconductor portion that surrounds a portion of the source/drain structure such that the suicides are isolated from the MOSFET body node. In a further aspect of the present invention, a barrier layer is formed around a gate electrode to prevent electrical shorts between a silicided source/drain extension and the gate electrode. A deep source/drain is then formed, self-aligned to sidewall spacers that are formed subsequent to the silicidation of the source/drain extension.
    Type: Application
    Filed: June 30, 1999
    Publication date: July 4, 2002
    Inventors: PENG CHENG, BRIAN DOYLE, GANG BAI
  • Patent number: 6410420
    Abstract: Silicide interfaces for integrated circuits, thin film devices, and backend integrated circuit testing devices are formed using a barrier layer, such as titanium nitride, disposed over a porous, thin dielectric layer which is disposed between a silicon-containing substrate and a silicidable material which is deposited to form the silicide interfaces for such devices. The barrier layer prevents the formation of a silicide material within imperfections or voids which form passages through the thin dielectric layer when the device is subjected to a high temperature anneal to form the silicide contact from the reaction of the silicidable material and the silicon-containing substrate.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: June 25, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Salman Akram, Y. Jeff Hu
  • Patent number: 6383922
    Abstract: A method for forming a thermally stable cobalt disilicide film in the fabrication of an integrated circuit is described. A semiconductor substrate is provided having silicon regions to be silicided. A cobalt layer is deposited overlying the silicon regions to be silicided. A capping layer is deposited overlying the cobalt layer. The substrate is subjected to a first rapid thermal anneal whereby the cobalt is transformed to cobalt monosilicide where it overlies the silicon regions and wherein the cobalt not overlying the silicon regions is unreacted. The unreacted cobalt layer and the capping layer are removed. A titanium layer is deposited overlying the cobalt monosilicide layer. Thereafter the substrate is subjected to a second rapid thermal anneal whereby the cobalt monosilicide is transformed to cobalt disilicide. The titanium layer provides titanium atoms which diffuse into the cobalt disilicide thereby increasing its thermal stability.
    Type: Grant
    Filed: June 4, 2001
    Date of Patent: May 7, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Bei Chao Zhang, Chung Woh Lai, Eng Hua Lim, Mei Sheng Zhou, Peter Chew, Arthur Ang
  • Publication number: 20020048946
    Abstract: A method for making a flexible metal silicide local interconnect structure. The method includes forming an amorphous or polycrystalline silicon layer on a substrate including at least one gate structure, forming a layer of silicon nitride over the silicon layer, removing a portion of the silicon nitride layer, oxidizing the exposed portion of the silicon layer, removing the remaining portion of the silicon nitride layer, optionally removing the oxidized silicon layer, forming a metal layer over the resulting structure, annealing the metal layer in an atmosphere comprising nitrogen, and removing any metal nitride regions. The local metal silicide interconnect structure may overlie the at least one gate structure. The methods better protect underlying silicon regions (e.g., substrate), as well as form TiSix local interconnects with good step coverage. Intermediate and resulting structures are also disclosed.
    Type: Application
    Filed: August 30, 2001
    Publication date: April 25, 2002
    Inventors: Sanh D. Tang, Michael P. Violette
  • Publication number: 20020048945
    Abstract: A method for manufacturing a miniaturized semiconductor device having a conductive portion with a silicide structure. The manufacturing method includes depositing metal on the surface of a patterned semiconductor film to form the conductive portion, heat treating the semiconductor film on which the metal is deposited, removing the residual metal that did not react during the heat treatment, and repeating the depositing step, the heat treating step, and the removing step once or a number of times.
    Type: Application
    Filed: June 28, 2001
    Publication date: April 25, 2002
    Inventors: Yoshikazu Ibara, Kei-ichi Yamaguchi
  • Patent number: 6376342
    Abstract: A process of forming a metal silicide layer, on a source/drain region of a MOSFET device, featuring ion implanted metal ions providing the metal component of the metal silicide layer, has been developed. After formation of a heavily doped source/drain region, in an area of a semiconductor region not covered by a insulator capped, gate structure, or by insulator spacers on the sides of the insulator capped gate structure, metal ions are implanted into the top surface of the heavily doped source/drain region. The metal ions are chosen from a group that includes titanium, tantalum, platinum, palladium, nickel and cobalt ions. An anneal procedure is then employed resulting in the formation of the metal silicide layer on the heavily doped source/drain region. Selective removal of unreacted metal ions is then accomplished via use wet etchant solutions.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: April 23, 2002
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Horng-Huei Tseng
  • Patent number: 6362095
    Abstract: A method of manufacturing a MOSFET semiconductor device comprises providing a gate electrode having first and second opposing sidewalls over a substrate having source/drain regions; providing a gate oxide between the gate electrode and the substrate; forming first and second sidewall spacers respectively disposed adjacent the first and second sidewalls; forming nickel silicide layers disposed on the source/drain regions and the gate electrode, and two etching steps. The nickel silicide layers are formed during a rapid thermal anneal at temperatures from about 380 to 600° C. The first etch is performed with a sulfuric peroxide mix to remove unreacted nickel, and the second etch is performed with an ammonia peroxide mix to remove nickel silicide formed over the first and second sidewall spacers.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: March 26, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, George Jonathan Kluth, Jacques Bertrand
  • Patent number: 6350677
    Abstract: A method of forming a self-aligned silicide layer. A planarization process is performed to form a gate with a planar top surface. Due to the planar top surface of the gate, the reactivity and the uniformity of thickness of the subsequently formed silicide layer on the top surface of the gate are improved, such that the resistance of the silicide is reduced, and the performance of the device is improved.
    Type: Grant
    Filed: August 2, 2000
    Date of Patent: February 26, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Joe Ko, Gary Hong
  • Publication number: 20020022367
    Abstract: A method for fabricating a semiconductor substrate includes forming a suicide layer at a predetermined portion of a semiconductor substrate, implanting two or more impurity ions before annealing, and forming an impurity region in the semiconductor substrate by annealing the silicide layer and by diffusing the impurity ions from the silicide layer into the semiconductor substrate. Accordingly, the present invention can improve reliability and performance of a semiconductor device by reducing dopant loss and leakage current of a PN junction in the substrate and by decreasing a sheet resistance of the silicide layer. The dose of the second implanter ions is about one hundred to one thousand times less than the dose of the first implanted ions.
    Type: Application
    Filed: November 5, 1999
    Publication date: February 21, 2002
    Inventors: JI SOO PARK, DONG KYUN SON
  • Publication number: 20020019119
    Abstract: The present invention generally relates to an improved salicide-gate and process of making an improved salicide-gate. One embodiment of the process comprises forming a gate structure on a substrate; forming spacers by the sidewalls of the gate; and depositing a relatively thin metal film, such as cobalt or titanium, over the gate at a high temperatures.
    Type: Application
    Filed: July 6, 2001
    Publication date: February 14, 2002
    Inventors: Dinesh Saigal, Shuk Ying Lai