Using Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/582)
  • Publication number: 20020162736
    Abstract: Low resistant vias are formed by sequentially treating an opening in an interlayer dielectric and the exposed surface of a lower metal feature with an NH3 plasma followed by a N2/H2 plasma, thereby removing any oxide on the metal surface and removing residual polymers or polymeric deposits generated during etching to form the opening. Embodiments include forming a dual damascene opening in a low-k interlayer dielectric exposing the upper surface of a lower Cu or Cu alloy feature, sequentially treating the opening and the upper surface of the lower metal feature with an NH3 plasma and then with a N2/H2 plasma, Ar sputter etching, depositing a barrier layer lining the opening, depositing a seedlayer and filling the opening with Cu or a Cu alloy.
    Type: Application
    Filed: May 2, 2001
    Publication date: November 7, 2002
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert A. Huertas, Dawn Hopper
  • Patent number: 6455404
    Abstract: A semiconductor device and method for fabricating the same which improves reliability of the semiconductor device is disclosed. The semiconductor device includes: a first insulating film and a gate electrode sequentially formed on a part of a semiconductor substrate; a first insulating spacer formed at both sides above the gate electrode; a second insulating spacer formed at both sides below the gate electrode; and a cobalt silicide film formed on a surface of the gate electrode at a predetermined depth.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: September 24, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jong Uk Bae, Ji Soo Park, Bong Soo Kim
  • Patent number: 6455403
    Abstract: A method for fabricating a Schottky diode using a shallow trench contact to reduce leakage current in the fabrication of an integrated circuit device is described. The method provides a simple and effective method for decreasing the possibility of forming a bad Schottky diode.
    Type: Grant
    Filed: January 4, 1999
    Date of Patent: September 24, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jei-Fung Hwang, Ruey-Hsing Liou, Chih-Kang Chiu
  • Publication number: 20020132456
    Abstract: A single rapid thermal anneal (RTA) process is used to form a low resistivity titanium silicide layer atop a polysilicon gate layer for a MOSgated device. The process employs an amorphous silicon layer formed atop the polysilicon layer, followed by forming a titanium layer atop the amorphous silicon. A single RTA process at a temperature below the temperature of contamination diffusion is carried out, preferably at about 650° C. for 30 seconds. The top of the annealed titanium silicide layer is then stripped, and the remaining layer has a sheet Rho of less than about 2 ohms per square.
    Type: Application
    Filed: February 21, 2002
    Publication date: September 19, 2002
    Applicant: International Rectifier Corp.
    Inventor: Hamilton Lu
  • Patent number: 6451181
    Abstract: A method for forming an improved copper inlaid interconnect (FIG. 11) begins by performing an RF preclean operation (408) on the inlaid structure in a chamber (10). The RF preclean rounds corners (210a and 206a) of the structure to reduce voiding and improve step coverage while not significantly removing copper atoms from the underlying exposed copper interconnects surfaces (202a). A tantalum barrier (220) is then deposited where one portion of the tantalum barrier is more tensile than another portion of the tantalum barrier. After formation of the barrier layer (220), a copper seed layer (222) is formed over a top of the barrier layer. The copper layer is formed while clamping the wafer with an improved clamp (85) which reduces copper peeling and contamination at wafer edges. Copper electroplating and chemical mechanical polishing (CMP) processes are then used to complete the copper interconnect structure.
    Type: Grant
    Filed: March 2, 1999
    Date of Patent: September 17, 2002
    Assignee: Motorola, Inc.
    Inventors: Dean J. Denning, Sam S. Garcia, Bradley P. Smith, Daniel J. Loop, Gregory Norman Hamilton, Md. Rabiul Islam, Brian G. Anthony
  • Patent number: 6432800
    Abstract: The inspection apparatus inspects defects of wafer circumference by processing the image information of the wafer circumference. The inspection apparatus includes a wafer moving unit and an image information acquisition unit. The moving unit accommodates the semiconductor wafers and either moves the wafers linearly or rotates the wafers. The moving unit moves the wafers linearly in a direction of the plane of the wafers when the image information of a linear portion of the wafer is being obtained by the image information acquisition unit. The moving unit rotates the wafers when the image information on a round portion of the wafer circumference is being obtained.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: August 13, 2002
    Assignee: Selight Co., Ltd.
    Inventor: Keun-Hyung Park
  • Patent number: 6406997
    Abstract: A semiconductor device which exhibits reduced electromigration which includes an electrically conductive support member having a thin film of chromium overlaying the conductive support and a layer of semiconductor material overlaying said chromium film. The invention also includes a method of reducing electromigration and resistance and resistance in conductive supporting layers of semiconductor devices by forming a chromium layer over at least one surface of the conductive support layer of the device. The conductive support may be copper or aluminum with a semiconductive layer of germanium or silicon.
    Type: Grant
    Filed: June 9, 1999
    Date of Patent: June 18, 2002
    Inventor: Klaus Schroder
  • Patent number: 6406952
    Abstract: A process for device fabrication, comprising the steps of forming a dielectric material region on a silicon substrate, forming a first amorphous silicon or polysilicon region on the dielectric material region, implanting one or more dopants in the first amorphous silicon or polysilicon region, and, subsequent to implanting the one or more dopants in the first amorphous silicon or polysilicon region, forming a second amorphous silicon or polysilicon region on the first amorphous silicon or polysilicon region. Typically, a refractory metal silicide layer is formed over the silicon, and such silicide is optionally formed by a salicide process. The second silicon region makes it more difficult for the implanted dopants to reach the silicide layer, and thereby reduces undesirable lateral diffusion of dopants in the silicide and accompanying cross-doping. The buried nature of the dopants in the silicon further reduces the amount of lateral diffusion within the silicon, regardless of the gate material.
    Type: Grant
    Filed: July 29, 1997
    Date of Patent: June 18, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventor: Joze Bevk
  • Patent number: 6402907
    Abstract: This invention relates to method of forming a barrier layer in a high aspect ratio recess in a dielectric layer on a semi-conductor wafer.
    Type: Grant
    Filed: November 3, 2000
    Date of Patent: June 11, 2002
    Assignee: Trikon Holdings Limited
    Inventor: Paul Rich
  • Publication number: 20020058401
    Abstract: A metal line of a semiconductor device and method of fabricating the same are provided in which the metal line deterioration due to electromigration is minimized to improve its reliability.
    Type: Application
    Filed: January 14, 2002
    Publication date: May 16, 2002
    Applicant: LG Semicon Co., Ltd.
    Inventor: Chang Yong Kim
  • Patent number: 6388272
    Abstract: Ohmic and rectifying contacts to a TaC layer on an n-type or p-type area of an SiC substrate are formed by depositing a WC layer over the TaC layer, followed by a metallic W layer. Such contacts are stable to at least 1150° C. Electrodes connect to the contacts either directly or via a protective bonding layer such as Pt or PtAu alloy through a dielectric layer.
    Type: Grant
    Filed: July 27, 2000
    Date of Patent: May 14, 2002
    Assignee: Caldus Semiconductor, Inc.
    Inventor: Bruce Odekirk
  • Patent number: 6365495
    Abstract: A process for chemical vapor deposition of titanium nitride film using thermal decomposition of a metal-organic compound is disclosed. In particular, the deposition of titanium nitride film from tetrakis dimethylamino-titanium (TDMAT) is performed at a temperature preferably below 350° C. in the presence of helium and nitrogen. The process is performed at a total pressure of about 5 torr, a nitrogen dilutant gas flow of at least 500 sccm, preferably about 1000 sccm, and an edge purge gas flow of at least 500 sccm. These process parameters, coupled with an improved thermal conduction between the wafer and the heated pedestal, lead to a conformal deposition of titanium nitride film at a rate of at least 6 Å/sec.
    Type: Grant
    Filed: February 9, 1999
    Date of Patent: April 2, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Shulin Wang, Huan Luo, Keith K. Koai, Ming Xi, Mei Chang, Russell C. Ellwanger
  • Patent number: 6365494
    Abstract: A component is produced on a substrate made of SiC. The component has at least one ohmic contact and at least one Schottky contact. The component is brought to a temperature of more than 1300° C. at least during the growth of an epitaxial layer. To ensure that the production of the ohmic contact does not lead to impairment of other structures on the component and that the ohmic contact, for its part, is insensitive with respect to later method steps at high temperatures, the first metal is applied to the substrate for the ohmic contact before the epitaxial layer is grown.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: April 2, 2002
    Assignee: SiCED Electronics Development GmbH & Co. KG.
    Inventors: Roland Rupp, Arno Wiedenhofer
  • Patent number: 6362495
    Abstract: A dual-metal-trench silicon carbide Schottky pinch rectifier having a plurality of trenches formed in an n-type SiC substrate, with a Schottky contact having a relatively low barrier height on a mesa defined between adjacent ones of the trenches, and a Schottky contact having a relatively high barrier height at the bottom of each trench. The same metal used for the Schottky contact in each trench is deposited over the Schottky contact on the mesa. A simplified fabrication process is disclosed in which the high barrier height metal is deposited over the low barrier height metal and then used as an etch mask for reactive ion etching of the trenches to produce a self-aligned low barrier contact.
    Type: Grant
    Filed: March 5, 1999
    Date of Patent: March 26, 2002
    Assignee: Purdue Research Foundation
    Inventors: Kipp J. Schoen, Jason P. Henning, Jerry M. Woodall, James A. Cooper, Jr., Michael R. Melloch
  • Publication number: 20020001928
    Abstract: A process for chemical vapor deposition of titanium nitride film using thermal decomposition of a metal-organic compound is disclosed. In particular, the deposition of titanium nitride film from tetrakis dimethylamino-titanium (TDMAT) is performed at a temperature preferably below 350° C. in the presence of helium and nitrogen. The process is performed at a total pressure of about 5 torr, a nitrogen dilutant gas flow of at least 500 sccm, preferably about 1000 sccm, and an edge purge gas flow of at least 500 sccm. These process parameters, coupled with an improved thermal conduction between the wafer and the heated pedestal, lead to a conformal deposition of titanium nitride film at a rate of at least 6 Å/sec.
    Type: Application
    Filed: February 9, 1999
    Publication date: January 3, 2002
    Inventors: SHULIN WANG, HUAN LUO, KEITH K. KOAI, MING XI, MEI CHANG, RUSSELL C. ELLWANGER
  • Patent number: 6303480
    Abstract: A method of forming an electrically conductive plug in an opening in a dielectric layer of a substrate. A layer of silicon is deposited on the walls of an opening. In one aspect, the opening is filled by depositing electrically conductive material directly over the silicon. In another aspect, the layer of silicon is exposed to a precursor gas that reacts with the silicon so as to (a) form a volatile material that consumes substantially all of the silicon and (b) deposit an electrically conductive material within the opening.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: October 16, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Sandeep A. Desai, Scott Brad Herner, Steve G. Ghanayem
  • Patent number: 6303479
    Abstract: The present invention Is a fabrication method for a short-channel Schottky-barrier field-effect transistor device. The method of the present invention includes introducing channel dopants into a semiconductor substrate such that the dopant concentration varies in the vertical direction and is generally constant in the lateral direction. A gate electrode is formed on the semiconductor substrate, and source and drain electrodes are formed on the substrate to form a Schottky or Schottky-like contact to the substrate.
    Type: Grant
    Filed: December 16, 1999
    Date of Patent: October 16, 2001
    Assignee: Spinnaker Semiconductor, Inc.
    Inventor: John P. Snyder
  • Publication number: 20010025205
    Abstract: The construction of a film on a wafer, which is placed in a processing chamber, may be carried out through the following steps. A layer of material is deposited on the wafer. Next, the layer of material is annealed. Once the annealing is completed, the material may be oxidized. Alternatively, the material may be exposed to a silicon gas once the annealing is completed. The deposition, annealing, and either oxidation or silicon gas exposure may all be carried out in the same chamber, without need for removing the wafer from the chamber until all three steps are completed. A semiconductor wafer processing chamber for carrying out such an in-situ construction may include a processing chamber, a showerhead, a wafer support and a rf signal means. The showerhead supplies gases into the processing chamber, while the wafer support supports a wafer in the processing chamber.
    Type: Application
    Filed: December 15, 2000
    Publication date: September 27, 2001
    Applicant: Applied Materials, Inc.
    Inventors: Chyi Chern, Michal Danek, Marvin Liao, Roderick C. Mosely, Karl Littau, Ivo Raaijmakers, David C. Smith
  • Patent number: 6251758
    Abstract: The construction of a film on a wafer, which is placed in a processing chamber, may be carried out through the following steps. A layer of material is deposited on the wafer. Next, the layer of material is annealed. Once the annealing is completed, the material may be oxidized. Alternatively, the material may be exposed to a silicon gas once the annealing is completed. The deposition, annealing, and either oxidation or silicon gas exposure may all be carried out in the same chamber, without need for removing the wafer from the chamber until all three steps are completed. A semiconductor wafer processing chamber for carrying out such an in-situ construction may include a processing chamber, a showerhead, a wafer support and a rf signal means. The showerhead supplies gases into the processing chamber, while the wafer support supports a wafer in the processing chamber.
    Type: Grant
    Filed: February 28, 1997
    Date of Patent: June 26, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Chyi Chern, Michal Danek, Marvin Liao, Roderick C. Mosely, Karl Littau, Ivo Raaijmakers, David C. Smith
  • Patent number: 6245654
    Abstract: A method for preventing tungsten contact/via plug loss after a backside pressure fault defect in a deposition chamber is provided. In the method, first deposited by a silane soak step and a tungsten nucleation layer is subsequently deposited, a plasma treating step by a H2 plasma is carried out at a temperature of not higher than 480° C. for a time period of at least 15 seconds. The plasma treating step significantly improves the uniform distribution of the silicon prenucleation layer and substantially prevents the formation of any tungsten silicide layers such that during an etchback process, the dry etchant utilized does not remove a tungsten silicide layer at a much faster rate and leads to a plug loss defect.
    Type: Grant
    Filed: March 31, 1999
    Date of Patent: June 12, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Po-Jen Shih, Po-Jen Chen
  • Patent number: 6229193
    Abstract: A Schottky rectifier has multiple stages with substantially identical or very similar structures. Each stage includes a nitride-based semiconductor layer, a Schottky contact formed on one surface of the semiconductor layer, and an ohmic contact formed on an opposite surface of the semiconductor layer. The Schottky layer is formed from a metallic material with a high metal work function, and the ohmic contact is formed from a metallic material with a low metal work function. At least one of the stages is a middle stage located between two adjacent stages, such that the Schottky contact of the middle stage and the ohmic contact of one of the adjacent stages are joined together, and such that the ohmic contact of the middle stage and the Schottky contact of another one of the adjacent stages are joined together.
    Type: Grant
    Filed: April 1, 1999
    Date of Patent: May 8, 2001
    Assignee: California Institute of Technology
    Inventors: Zvonimir Z. Bandic, Eric C. Piquette, Thomas C. McGill
  • Patent number: 6218688
    Abstract: The silicon real estate consumed by a conventional Schottky diode is reduced in the present invention by forming the Schottky diode through a field oxide isolation region. Etching through the field oxide isolation region requires extra etch time which is provided by conventional etch steps that typically specify a 50-100% overetch during contact formation.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: April 17, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Alexander Kalnitsky, Pavel Poplevine, Albert Bergemont
  • Patent number: 6171916
    Abstract: A semiconductor device in which a salicide structure is applied to a buried gate transistor to largely reduce a difference of level or height in a element and to reduce the resistance of a gate electrode and a source/drain structure, thus enabling reliable high speed operations while maintaining high performance. For manufacturing the semiconductor device, a silicon substrate is formed with a groove for a buried gate. A gate insulating film is formed on the bottom surface of the groove. Then, side-wall insulating films are formed on both side surfaces of the groove in a large thickness as compared with that of the gate insulating film. Next, after a gate electrode is formed from a polycrystalline silicon film, a source/drain structure is formed in the silicon substrate through the gate electrode and the side-wall insulating film. Then, a Ti film is formed and annealed to form silicide layers on the gate electrode and on the source/drain electrodes, thus completing a salicide structure.
    Type: Grant
    Filed: October 26, 1999
    Date of Patent: January 9, 2001
    Assignee: Nippon Steel Corporation
    Inventors: Masahiro Sugawara, Katsuki Hazama
  • Patent number: 6169005
    Abstract: High integrity ultra-shallow source/drain junctions are formed employing cobalt silicide contacts. These are formed by depositing a layer of cobalt on a substrate above intended source/drain regions, and depositing a doped amorphous silicon film on the cobalt. Silicidation, as by rapid thermal annealing, is performed to form a low-resistance cobalt suicide while consuming the amorphous silicon film and diffusing impurities from the doped amorphous silicon film through the cobalt silicide into the substrate. The diffusion of the impurities forms shallow junctions extending into the substrate a substantially constant depth below the cobalt silicide/silicon substrate interface.
    Type: Grant
    Filed: May 26, 1999
    Date of Patent: January 2, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Nick Kepler, Karsten Wieczorek, Larry Wang, Paul Raymond Besser
  • Patent number: 6159831
    Abstract: A method is disclosed for forming an array of submicron-sized wires in a host body. In the method, the vapor of a metal, such as bismuth, is caused to flow upward through a horizontal refractory plate having many through holes, 200 nanometers or less in diameter, until all foreign material is excluded from the holes and then the plate is cooled from the top side to progressively and simultaneously condense said vapor to form said wires in the holes.
    Type: Grant
    Filed: October 5, 1998
    Date of Patent: December 12, 2000
    Assignees: General Motors Corporation, Delphi Technologies Inc.
    Inventors: Christopher Mark Thrush, Joseph Pierre Heremans
  • Patent number: 6121122
    Abstract: A method of contacting a silicide-based Schottky diode including the step of providing a contact to the silicide that is fully bordered with respect to an internal edge of the guard ring area. A Schottky diode having silicide contacting a guard ring of the Schottky diode and a contact to the silicide that is fully bordered by silicide with respect to an internal edge of the guard ring.
    Type: Grant
    Filed: May 17, 1999
    Date of Patent: September 19, 2000
    Assignee: International Business Machines Corporation
    Inventors: James Stuart Dunn, Peter Brian Gray, Kenneth Knetch Kieft, III, Nicholas Theodore Schmidt, Stephen St. onge
  • Patent number: 6114200
    Abstract: A method of fabricating a DRAM device to reduce the stress and enhance the adhesion between the top electrode and the interlevel dielectric layer, includes forming a titanium layer between the top electrode and the interlevel dielectric layer. A titanium oxide layer and a titanium silicide are formed between the titanium layer and the interlevel dielectric layer in post thermal procedures, which enhances the adhesion and avoids cracks and leakage current between the top electrode and the interlevel dielectric layer.
    Type: Grant
    Filed: December 23, 1997
    Date of Patent: September 5, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Tri-Rung Yew, Water Lur, Shih-Wei Sun
  • Patent number: 6110810
    Abstract: A method of forming channel for metal oxidation semiconductor in the integrated circuits. The manufacturing process is as following: a layer of amorphous silicon is deposited after forming the gate oxide, and ion implantation for forming channel is performed, then a doped polysilicon layer and a silicide layer are deposited orderly, finally the whole structure is defined to form a gate electrode. The key point of the current invention is the addition of amorphous silicon. This amorphous silicon can prevent the direct bombardment of implanted ions to the gate oxide, it can also avoid the diffusion of polysilicon dopant into the gate oxide, therefore, the electrical properties of transistor will be made stable. In addition, the native oxide spontaneously produced between amorphous silicon and polysilicon along with process is very even and plain, it is profitable to planarization when subsequently depositing other layers.
    Type: Grant
    Filed: July 2, 1998
    Date of Patent: August 29, 2000
    Assignee: Mosel Vitelic Inc.
    Inventors: Chien-Hung Chen, Keng-Hui Liao, Martin Lin
  • Patent number: 6107170
    Abstract: An improved method for forming a metal contact for a silicon sensor. First, platinum is deposited over a contact area. Then the platinum is sintered to form platinum silicide. Subsequently, titanium/tungsten (TiW) is deposited over the platinum silicide. Finally, gold is deposited over the TiW.
    Type: Grant
    Filed: July 24, 1998
    Date of Patent: August 22, 2000
    Assignee: SMI Corporation
    Inventors: Abhijeet Sathe, Henry V. Allen
  • Patent number: 6100173
    Abstract: An integrated circuit fabrication process is provided for using a dual salicidation process to form a silicide gate conductor to a greater thickness than silicide structures formed upon source and drain regions of a transistor. A high K gate dielectric residing between the gate conductor and the substrate substantially inhibits consumption of the junctions during the formation of the silicide gate conductor. In an embodiment, a relatively thick layer of refractory metal is deposited across a transistor arranged upon and within a silicon-based substrate. The transistor includes a polysilicon gate conductor arranged upon a portion of a high K gate dielectric interposed between a pair of source and drain junctions. The refractory metal is heated to convert the polysilicon gate conductor to a silicide gate conductor.
    Type: Grant
    Filed: July 15, 1998
    Date of Patent: August 8, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Jr., Charles E. May
  • Patent number: 6096641
    Abstract: A tungsten nitride (6b) is provided also on side surface of a tungsten (6c), to increase an area where the tungsten (6c) and the tungsten nitride (6b) are in contact with each other. On a gate insulating film (2), a polysilicon side wall (5) having high adhesive strength to the gate insulating film is disposed. The polysilicon side wall (5) is brought into a close contact with the tungsten nitride (6b) on the side surface of the tungsten (6c). With this structure improved is adhesive strength of a metal wire or a metal electrode which is formed on an insulating film of a semiconductor device.
    Type: Grant
    Filed: June 4, 1999
    Date of Patent: August 1, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Tatsuya Kunikiyo
  • Patent number: 6093628
    Abstract: A method for fabricating a deep sub-micron gate electrode, comprising polysilicon and metal, having ultra-low sheet resistance. The process begins by forming shallow trench isolation regions 14 in a silicon substrate 10. A gate oxide layer is formed on device areas. A doped blanket polysilicon layer 16 is formed on the gate oxide layer. A cap layer 20 composed of silicon nitride is formed on the polysilicon layer 16. The cap layer 20 and the polysilicon layer 16 are patterned by photoresist masking and anisotropic etching to form a bottom gate electrode 16A and a gate cap 20A. Lightly doped source/drain areas 22 are formed adjacent to the gate bottom electrodes 16A by ion implantation. Sidewall spacers 21 are formed on the gate electrode 16A and gate cap 20A. Source/drain regions 24 are formed by ion implantation adjacent to said sidewall spacers 21. A metal silicide 23 is formed on the source/drain regions 24.
    Type: Grant
    Filed: October 1, 1998
    Date of Patent: July 25, 2000
    Assignees: Chartered Semiconductor Manufacturing, Ltd, National University of Singapore
    Inventors: Chong Wee Lim, Kin Leong Pey, Soh Yun Siah, Eng Hwa Lim, Lap Chan
  • Patent number: 6063692
    Abstract: A method of fabricating an oxidation barrier for a thin film is provided. The method may include forming a thin film (10) outwardly from a semiconductor substrate (12) and separated from the semiconductor substrate (12) by a primary insulator layer (14). A reactive layer (16) may be formed in-situ adjacent to the thin film (10). An oxidation barrier (20) may be formed by a chemical reaction between the thin film (10) and the reactive layer (16). The oxidation barrier (20) may comprise a silicide alloy that operates to reduce oxidation of the thin film (10).
    Type: Grant
    Filed: December 14, 1998
    Date of Patent: May 16, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Wei William Lee, Joseph D. Luttmer, Hong Yang
  • Patent number: 6037232
    Abstract: A semiconductor device having an elevated silicidation layer and process for fabricating such a device is provided. Consistent with one embodiment of the invention, at least one gate electrode is formed over a substrate and silicon is formed over at least one active region of the substrate adjacent the gate electrode. A layer of metal is then formed over the silicon. Using the metal layer and the silicon, a silicidation layer is formed over the active region. The active region may, for example, include a source/drain region. The ratio of the depth of the silicidation layer to the depth of the source/drain region may, for example, be greater than or equal to 0.75:1. In other embodiments, the ratio of the silicidation layer depth to source/drain region depth may be greater than or equal to 1:1, 1.5:1 or 2:1.
    Type: Grant
    Filed: September 15, 1997
    Date of Patent: March 14, 2000
    Assignee: Advanced Micro Devices
    Inventors: Karsen Wieczorek, Frederick N. Hause
  • Patent number: 6037233
    Abstract: Provided are methods of forming a metal layer on the horizontal and vertical surfaces of a polysilicon gate electrode/interconnect in a MOS transistor, and devices having metal-encapsulated gates and interconnects. The metal encapsulation method of the present invention may also provide a layer of metal on the exposed surfaces of the source and drain regions of the transistor. The methods and apparatuses of the present invention allow reductions in device resistance and signal propagation delays.
    Type: Grant
    Filed: April 27, 1998
    Date of Patent: March 14, 2000
    Assignee: LSI Logic Corporation
    Inventors: Yauh-Ching Liu, Gary K. Giust, Ruggero Castagnetti, Subramanian Ramesh
  • Patent number: 5915179
    Abstract: In the present invention, a vertical type MOSFET and a Schottky barrier diode which are used as a switching device of a DC--DC converter are formed on the same semiconductor substrate. Further, a barrier metal which is required for the Schottky barrier diode is also formed on an electrode portion of the vertical type MOSFET. In addition, a Schottky barrier diode forming region is formed to have low impurity concentration than a vertical type MOSFET forming region.
    Type: Grant
    Filed: June 7, 1996
    Date of Patent: June 22, 1999
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hiroki Etou, Kazunori Ohno, Takaaki Saito, Naofumi Tsuchiya, Toshinari Utsumi
  • Patent number: 5888891
    Abstract: A schottky diode is formed of a sintered palladium platinum silicide in contact with a lightly doped silicon surface in which the platinum and palladium are present in a ratio of about one part to about 10 parts respectively, by weight.
    Type: Grant
    Filed: August 23, 1996
    Date of Patent: March 30, 1999
    Assignee: International Rectifier Corporation
    Inventor: Herbert J. Gould
  • Patent number: 5882992
    Abstract: The present invention provides a method for fabricating tungsten local interconnections in high density CMOS circuits, and also provides high density CMOS circuits having local interconnections formed of tungsten. Pursuant to the method, an etch stop layer of chromium is initially deposited on the circuit elements of the CMOS silicon substrate. Next, a conductive layer of tungsten is non-selectively deposited on the chromium layer. A photoresist mask is then lithographically patterned over the tungsten layer. The tungsten layer is then etched down to, and stopping at, the chromium layer, after which the photoresist mask is stripped. The stripping preferably uses a low temperature plasma etch in O.sub.2 at a temperature of less than 100.degree. C. Finally, a directional O.sub.2 reactive ion etch is used to remove the chromium layer selectively to the silicon substrate. Borderless contacts are formed with the aid of the chromium etch stop layer beneath the tungsten local interconnection layer.
    Type: Grant
    Filed: November 18, 1996
    Date of Patent: March 16, 1999
    Assignee: International Business Machines Corporation
    Inventors: Edward Kobeda, Jeffrey Peter Gambino, George Gordon Gifford, Nickolas Joseph Mazzeo
  • Patent number: 5667632
    Abstract: A method of defining a line width includes forming a spacer (45) over a layer (42) and using the spacer (45) as an etch mask (57) while etching the layer (42). In this manner, a width (47) of the spacer (45) is used to define a width or line width (47) for the layer (42). Another method of using a spacer to define a line width includes forming a spacer (14) over a substrate (11), depositing a layer (15) over the substrate (11) and the spacer (14), planarizing the layer (15) to expose the spacer (14), and removing the spacer (14) to form an opening (19) over the substrate (11), wherein the opening (19) has a width or line width (17) of the spacer (14).
    Type: Grant
    Filed: November 13, 1995
    Date of Patent: September 16, 1997
    Assignee: Motorola, Inc.
    Inventors: Richard S. Burton, Gordon M. Grivna