Having Sidewall Structure Patents (Class 438/595)
  • Patent number: 7459384
    Abstract: Methods for preventing cavitation in high aspect ratio dielectric regions in a semiconductor device, and the device so formed, are disclosed. The invention includes depositing a first dielectric in the high aspect ratio dielectric region between a pair of structures, and then removing the first dielectric to form a bearing surface adjacent each structure. The bearing surface prevents cavitation of the interlayer dielectric that subsequently fills the high aspect ratio region.
    Type: Grant
    Filed: June 28, 2004
    Date of Patent: December 2, 2008
    Assignee: International Business Machines Corporation
    Inventors: Paul D. Agnello, Rajeev Malik, K. Paul Muller
  • Patent number: 7456066
    Abstract: MOSFET gate structures comprising multiple width offset spacers are provided. A first and a second gate structure are formed on a semiconductor substrate. A pair of first offset spacers are formed adjacent either side of the first gate structure. Each of the first offset spacers comprises a first silicon oxide layer with a first dielectric layer overlying. A pair of second offset spacers are formed adjacent either side of the second gate structure. Each of the second offset spacers comprises a second silicon oxide layer with a second dielectric layer overlying. Ion implanted doped regions are formed in the semiconductor substrate adjacent the first and second offset spacers respectively to form a first and second MOSFET device. A maximum width of each of the first offset spacers is different from that of the second offset spacers. The first silicon oxide layer is thinner than the second silicon oxide layer.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: November 25, 2008
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Shien-Yang Wu
  • Publication number: 20080286956
    Abstract: There is provided a method of removing trap levels and defects, which are caused by stress, from a single crystal silicon thin film formed by an SOI technique. First, a single crystal silicon film is formed by using a typical bonding SOI technique such as Smart-Cut or ELTRAN. Next, the single crystal silicon thin film is patterned to form an island-like silicon layer, and then, a thermal oxidation treatment is carried out in an oxidizing atmosphere containing a halogen element, so that an island-like silicon layer in which the trap levels and the defects are removed is obtained.
    Type: Application
    Filed: July 10, 2008
    Publication date: November 20, 2008
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20080283974
    Abstract: Disclosed herein is a semiconductor device including a gate insulating film formed over a semiconductor substrate, and a gate electrode formed over the gate insulating film, wherein the gate insulating film is so provided as to protrude from both sides of the gate electrode, and the gate electrode includes a wholly silicided layer.
    Type: Application
    Filed: May 13, 2008
    Publication date: November 20, 2008
    Applicant: Sony Corporation
    Inventor: Toshihiko Iwata
  • Patent number: 7449403
    Abstract: Disclosed is a method for manufacturing a semiconductor device. According to such a method, in forming a MOSFET to which a double spacer structure is applied, a first spacer of an oxide film is formed after only an upper gate conductive layer is primarily patterned, and then a second spacer of a nitride film is formed after a lower gate conductive layer is etched, so that impurities cannot be diffused up to into the semiconductor substrate through PLDs existing within the oxide film because the first spacer of the oxide film does not come in contact with a semiconductor substrate. Consequently, the gate hump phenomenon is prevented, as a result of which process yield and operation reliability of the device can be improved.
    Type: Grant
    Filed: May 5, 2005
    Date of Patent: November 11, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Dong Seok Kim, Bong Soo Kim
  • Publication number: 20080274607
    Abstract: A method of fabricating a semiconductor device includes the steps of modifying a damaged layer containing carbon and formed at a semiconductor surface by exposing the damaged layer to oxygen radicals to form a modified layer, and removing the modified layer by a wet etching process, wherein the modifying step is conducted by adding an active specie of an element that would obstruct formation of double bond between a Si atom and an oxygen atom by causing a chemical bond with Si atoms on the semiconductor surface.
    Type: Application
    Filed: June 24, 2008
    Publication date: November 6, 2008
    Applicant: FUJITSU LIMITED
    Inventor: Hikaru Kokura
  • Patent number: 7446007
    Abstract: A semiconductor structure includes a multi-layer spacer located adjacent and adjoining a sidewall of a topographic feature within the semiconductor structure. The multi-layer spacer includes a first spacer sub-layer comprising a deposited silicon oxide material laminated to a second spacer sub-layer comprising a material that is other than the deposited silicon oxide material. The first spacer sub-layer is recessed with respect to the second spacer sub-layer by a recess distance of no greater than a thickness of the first spacer sub-layer (and preferably from about 50 to about 150 angstroms). Such a recess distance is realized through use of a chemical oxide removal (COR) etchant that is self limiting for the deposited silicon oxide material with respect to a thermally grown silicon oxide material. Dimensional integrity and delamination avoidance is thus assured for the multi-layer spacer layer.
    Type: Grant
    Filed: November 17, 2006
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: James W. Adkisson, Marc W. Cantell, James R. Elliott, James V. Hart, III, Dale W. Martin
  • Patent number: 7446004
    Abstract: A field effect transistor (FET) device includes a gate conductor formed over a semiconductor substrate, a source region having a source extension that overlaps and extends under the gate conductor, and a drain region having a drain extension that overlaps and extends under the gate conductor only at selected locations along the width of the gate conductor.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: November 4, 2008
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Oleg Gluschenkov
  • Patent number: 7446006
    Abstract: A semiconductor fabrication process includes forming a gate electrode (112) overlying a gate dielectric (114) overlying a semiconductor substrate (104) of a wafer (101) and a liner dielectric layer (116) including vertical portions (118) adjacent sidewalls of the gate electrode and horizontal portions (117) overlying an upper surface of the semiconductor substrate (104). A spacer (108) is formed adjacent a vertical portion (118) and overlying a horizontal portion (117) of the liner dielectric layer (116). After forming the spacer (108), exposed portions of the liner dielectric layer (116) are removed to form a liner dielectric structure (126) covered by the extension spacer (108). The extension spacer (108) is then etched back to expose or uncover extremities of the liner dielectric structure (126). Prior to etching back the spacer (108), a metal (130) may be sputtered deposited over the wafer (101) preparatory to forming a silicide (134).
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: November 4, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Dharmesh Jawarani, John R. Alvis, Michael G. Harrison, Leo Mathew, John E. Moore, Rode R. Mora
  • Patent number: 7446354
    Abstract: In one embodiment, a semiconductor device is formed in a body of semiconductor material. The semiconductor device includes a counter-doped drain region spaced apart from a channel region.
    Type: Grant
    Filed: April 25, 2005
    Date of Patent: November 4, 2008
    Assignee: Semiconductor Components Industries, L.L.C.
    Inventors: Gary H. Loechelt, Peter J. Zdebel
  • Patent number: 7446027
    Abstract: A method for forming a gate structure with a pulled-back conductive layer and the use of the method are provided. The method conducts a local, not global, pull-back process on the conductive layer of the gate structure at the position intended for contact window formation, wherein the pull-back process is conducted after rapid thermal oxidation to prevent CBCB short, CB open and/or CBGC short.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: November 4, 2008
    Assignee: Promos Technologies Inc.
    Inventor: Chiang Yuh Ren
  • Publication number: 20080268602
    Abstract: A method of fabricating a semiconductor device is disclosed. The method of fabricating a semiconductor device provides a semiconductor substrate; forming a gate stack overlying the semiconductor substrate; forming spacers each having a first inner spacer and a second outer spacer on sidewalls of the gate stack; forming a protective layer on sidewalls of the spacers, covering a part of the semiconductor substrate, wherein an etching selectivity of the protective layer is higher than that of the first inner spacer.
    Type: Application
    Filed: January 14, 2008
    Publication date: October 30, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Li Cheng, Sun-Jay Chang, Tung-Heng Hsieh, Yung-Shun Chen
  • Publication number: 20080265420
    Abstract: A method of forming a fully silicided semiconductor device with independent gate and source/drain doping and related device. At least some of the illustrative embodiments are methods comprising forming a gate stack over a substrate (the gate stack comprising a polysilicon layer and a blocking layer), and performing an ion implantation into an active region of the substrate adjacent to the gate stack (the blocking layer substantially blocks the ion implantation from the polysilicon layer).
    Type: Application
    Filed: April 27, 2007
    Publication date: October 30, 2008
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Shaofeng Yu, Freidoon Mehrad, Jiong-Ping Lu
  • Publication number: 20080258225
    Abstract: MOS transistors having high-k spacers and methods for fabricating such transistors are provided. One exemplary method comprises forming a gate stack overlying a semiconductor substrate and forming an offset spacer about sidewalls of the gate stack. The offset spacer is formed of a high-k dielectric material that results in a low interface trap density between the offset spacer and the semiconductor substrate. First ions of a conductivity-determining impurity type are implanted into the semiconductor substrate using the gate stack and the offset spacer as an implantation mask to form spaced-apart impurity-doped extensions.
    Type: Application
    Filed: April 20, 2007
    Publication date: October 23, 2008
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Frank (Bin) YANG, Michael HARGROVE
  • Patent number: 7439138
    Abstract: The invention includes methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors. In one implementation, conductive metal silicide is formed on some areas of a substrate and not on others. In one implementation, conductive metal silicide is formed on a transistor source/drain region and which is spaced from an anisotropically etched sidewall spacer proximate a gate of the transistor.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, John K. Zahurak
  • Patent number: 7425498
    Abstract: A semiconductor device includes a gate electrode having a straight portion, a dummy electrode located at a point on the extension of the straight portion, a stopper insulating film, a sidewall insulating film, an interlayer insulating film, and a linear contact portion extending, when viewed from above, parallel to the straight portion. The longer side of the rectangle defined by the linear contact portion is, when viewed from above, located beyond the sidewall insulating film and within the top region of the gate electrode and the dummy electrode. A gap G between the gate electrode and the dummy electrode appearing, when viewed from above, in the linear contact portion is filled with the sidewall insulating film such that the semiconductor substrate is not exposed.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: September 16, 2008
    Assignee: Renesas Technology Corp.
    Inventor: Satoshi Shimizu
  • Patent number: 7422971
    Abstract: The invention relates to a transistor that includes an ultra-thin body epitaxial layer that forms an embedded junction with a channel that has a length dictated by an undercut under the gate stack for the transistor. The invention also relates to a process of forming the transistor and to a system that incorporates the transistor.
    Type: Grant
    Filed: August 15, 2005
    Date of Patent: September 9, 2008
    Assignee: Intel Corporation
    Inventors: Anand Murthy, Brian Doyle, Jack Kavalieros, Robert Chau
  • Publication number: 20080213990
    Abstract: A method for forming a gate electrode in a semiconductor device includes providing a substrate, forming a gate insulation layer over the substrate, forming first and second conductive layers over the gate insulation layer, forming a hard mask pattern over the second conductive layer, etching the second conductive layer using the hard mask pattern as an etch mask, performing an oxidation process to form an anti-oxidation layer on a sidewall of the etched second conductive layer, and etching the first conductive layer using the hard mask as an etch mask.
    Type: Application
    Filed: December 26, 2007
    Publication date: September 4, 2008
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Sang-Rok OH, Jae-Seon YU
  • Patent number: 7419876
    Abstract: A method manufactures non-volatile memory devices integrated on a semiconductor substrate and including a matrix of non-volatile memory cells and associated circuitry. The manufacturing method includes: forming a plurality of electrodes of the matrix memory cells, each electrode including a first dielectric layer, a first conductive layer, a second dielectric layer and a second conductive layer; and forming a plurality of electrodes of transistors of the circuitry each including a first dielectric layer and a first conductive layer. The method also includes forming first coating spacers on the side walls of the gate electrodes of the memory cell and second coating spacers on the side walls of the gate electrodes of the circuitry, the second spacers being wider than the first spacers.
    Type: Grant
    Filed: December 27, 2005
    Date of Patent: September 2, 2008
    Assignee: STMicroelectronics S.r.l.
    Inventors: Carlo Cremonesi, Alessandro Grossi, Giulio Albini
  • Patent number: 7420202
    Abstract: An electronic device can include a transistor structure of a first conductivity type, a field isolation region, and a layer of a first stress type overlying the field isolation region. For example, the transistor structure may be a p-channel transistor structure and the first stress type may be tensile, or the transistor structure may be an n-channel transistor structure and the first stress type may be compressive. The transistor structure can include a channel region that lies within an active region. An edge of the active region includes the interface between the channel region and the field isolation region. From a top view, the layer can include an edge the lies near the edge of the active region. The positional relationship between the edges can affect carrier mobility within the channel region of the transistor structure.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: September 2, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vance H. Adams, Paul A. Grudowski, Venkat R. Kolagunta, Brian A. Winstead
  • Patent number: 7413970
    Abstract: An electronic device can include a semiconductor fin overlying an insulating layer. The electronic device can also include a semiconductor layer overlying the semiconductor fin. The semiconductor layer can have a first portion and a second portion that are spaced-apart from each other. In one aspect, the electronic device can include a conductive member that lies between and spaced-apart from the first and second portions of the semiconductor layer. The electronic device can also include a metal-semiconductor layer overlying the semiconductor layer. In another aspect, the semiconductor layer can abut the semiconductor fin and include a dopant. In a further aspect, a process of forming the electronic device can include reacting a metal-containing layer and a semiconductor layer to form a metal-semiconductor layer. In another aspect, a process can include forming a semiconductor layer, including a dopant, abutting a wall surface of a semiconductor fin.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: August 19, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Da Zhang, Bich-Yen Nguyen
  • Patent number: 7413957
    Abstract: Methods are provided for depositing materials in forming semiconductor devices on a substrate, such as metal oxide transistors. In one embodiment, the invention generally provides a method of processing a substrate including forming a gate dielectric on a substrate having a first conductivity, forming a gate electrode on the gate dielectric, forming a first pair of sidewall spacers along laterally opposite sidewalls of the gate electrode, etching a pair of source/drain region definitions on opposite sides of the electrode, depositing a silicon-germanium material selectively in the source/drain region definitions, and implanting a dopant in the deposited silicon-germanium material to form a source/drain region having a second conductivity.
    Type: Grant
    Filed: May 6, 2005
    Date of Patent: August 19, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Faran Nouri, Lori D. Washington, Victor Moroz
  • Publication number: 20080191271
    Abstract: A line-form insulator is formed on a substrate and then the substrate is etched with the insulator used as a mask to form first trenches on both sides of the insulator. Side wall insulators are formed on the side walls of the first trenches, the substrate is etched with the insulator and side wall insulators used as a mask to form second trenches in the bottom of the first trenches. After, the substrate is oxidized with the insulator and side wall insulators used as an anti-oxidation mask to cause oxide regions formed on the adjacent side walls of the second trenches lying on both sides of the substrate to make contact with each other and the insulator and side wall insulators are removed. Then, a fin FET having a semiconductor region as a line-form fin is formed in the substrate.
    Type: Application
    Filed: January 10, 2008
    Publication date: August 14, 2008
    Inventors: Atsushi YAGISHITA, Akio KANEKO
  • Patent number: 7410876
    Abstract: A method for making a semiconductor device, comprising (a) providing a structure comprising a gate electrode (207) disposed on a substrate (203); (b) creating first (213) and second (214) pre-amorphization implant regions in the substrate such that the first and second pre-amorphization implant regions are asymmetrically disposed with respect to said gate electrode; (c) creating first (219) and second (220) spacer structures adjacent to first and second sides of the gate electrode, wherein the first and second spacer structures overlap the first and second pre-amorphization implant regions; and (d) creating source (217) and drain (218) regions in the substrate adjacent, respectively, to the first and second spacer structures.
    Type: Grant
    Filed: April 5, 2007
    Date of Patent: August 12, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Byoung W. Min, Jon D. Cheek, Venkat R. Kolagunta
  • Publication number: 20080188068
    Abstract: A semiconductor device is formed using a semiconductor substrate. A gate dielectric is formed over the semiconductor substrate. A gate electrode layer is formed over the gate dielectric. A patterned masking layer is formed over the gate electrode layer. A first region of the gate electrode layer lies within an opening in the patterned masking layer. The first region of the gate electrode layer is partially etched to leave an elevated portion of the gate electrode layer and a lower portion adjacent to the elevated portion. A sidewall spacer is formed adjacent to the elevated portion and over the lower portion. An implant is performed into the semiconductor substrate using the elevated portion and the sidewall spacer as a mask. The sidewall spacer and the lower portion are removed.
    Type: Application
    Filed: February 6, 2007
    Publication date: August 7, 2008
    Inventors: Vishal P. Trivedi, Leo Mathew
  • Publication number: 20080179660
    Abstract: Contact forming methods and a related semiconductor device are disclosed. One method includes forming a first liner over the structure and the substrate, the first liner covering sidewall of the structure; forming a dielectric layer over the first liner and the structure; forming a contact hole in the dielectric layer to the first liner; forming a second liner in the contact hole including over the first liner covering the sidewall; removing the first and second liners at a bottom of the contact hole; and filling the contact hole with a conductive material to form the contact. The thicker liner(s) over the sidewall of the structure prevents shorting, and allows for at least maintaining any intrinsic stress in one or more of the liner(s).
    Type: Application
    Filed: January 30, 2007
    Publication date: July 31, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel C. Edelstein, Louis Lu-Chen Hsu, Chih-Chao Yang
  • Publication number: 20080176390
    Abstract: A method for forming a carbon-containing silicon nitride layer with superior uniformity by low pressure chemical vapor deposition (LPCVD) using disilane, ammonia and at least one carbon-source precursor as reactant gases is provided.
    Type: Application
    Filed: March 26, 2008
    Publication date: July 24, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: Po-Lun Cheng
  • Patent number: 7402484
    Abstract: Methods for forming a field effect transistor are disclosed. An illustrated method comprises: forming a gate electrode on a substrate; and forming a nitride layer on at least a part of the gate electrode and the substrate.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 22, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Hyunsoo Shin, Kyusung Kim
  • Patent number: 7399689
    Abstract: Storage nodes for semiconductor memory devices may be fabricated by repeatedly forming conductive and insulating spacers on mold oxide layer pattern sidewalls, to thereby obtain fine line patterns which can increase the surface area of the storage node electrodes. Supporters also may be provided that are configured to support at least one freestanding storage node electrode, to thereby reduce or prevent the storage node electrode from falling or bending towards an adjacent storage node electrode.
    Type: Grant
    Filed: March 29, 2005
    Date of Patent: July 15, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Byung-jun Park
  • Patent number: 7399690
    Abstract: Methods of forming spacers on sidewalls of features of semiconductor devices and structures thereof are disclosed. A preferred embodiment comprises a semiconductor device including a workpiece and at least one feature disposed over the workpiece. A first spacer is disposed on the sidewalls of the at least one feature, the first spacer comprising a first material. A first liner is disposed over the first spacer and over a portion of the workpiece proximate the first spacer, the first liner comprising the first material. A second spacer is disposed over the first liner, the second spacer comprising a second material. A second liner is disposed over the second spacer, the second liner comprising the first material.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: July 15, 2008
    Assignee: Infineon Technologies AG
    Inventor: O Sung Kwon
  • Publication number: 20080166839
    Abstract: A low resistance buried back contact for SOI devices. A trench is etched in an insulating layer at minimum lithographic dimension, and sidewalls are deposited in the trench to decrease its width to sublithographic dimension. Conducting material is deposited in the trench, which serves as a low-resistance contact to the back side of the device. In another embodiment, the trench-fill material is separated from the device by an insulating layer, and serves as a back gate structure.
    Type: Application
    Filed: February 21, 2008
    Publication date: July 10, 2008
    Applicant: Texas Instruments Incorporated
    Inventor: Theodore W. Houston
  • Patent number: 7396716
    Abstract: The present invention provides a method of fabricating a microelectronics device. In one aspect, the method comprises forming a capping layer 610 over gate structures 230 located over a microelectronics substrate 210 wherein the gate structures 230 include sidewall spacers 515 and have a doped region 525 located between them. A protective layer 710 is placed over the capping layer 610 and the doped region 525, and a portion of the protective layer 710 and capping layer 610 that are located over the gate structures are removed to expose a top surface of the gate structures 230. A remaining portion of the protective layer 710 and capping layer 610 remains over the doped region 525. With the top surface of the gate structures 230 exposed, metal is incorporated into the gate structures to form gate electrodes 230.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: July 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Freidoon Mehrad, Shaofeng Yu, Joe G. Tran
  • Publication number: 20080138972
    Abstract: A method of removing a photoresist may include permeating supercritical carbon dioxide into the photoresist on a substrate having a conductive structure including a metal. The photoresist permeating the supercritical carbon dioxide may be easily removable. The photoresist permeating the supercritical carbon dioxide may be removed using a photoresist cleaning solution from the substrate. The photoresist cleaning solution may include an alkanolamine solution of about 8 percent by weight to about 20 percent by weight, a polar organic solution of about 25 percent by weight to about 40 percent by weight, a reducing agent of about 0.5 percent by weight to about 3 percent by weight with the remainder being water. The photoresist may be easily removed without damaging the conductive structure in a plasma process.
    Type: Application
    Filed: November 16, 2007
    Publication date: June 12, 2008
    Inventors: Dae-Hyuk Kang, Hyo-San Lee, Dong-Gyun Han, Chang-Ki Hong, Kun-Tack Lee
  • Patent number: 7381623
    Abstract: The embodiments of the invention provide a method, etc. for a pre-epitaxial disposable spacer integration scheme with very low temperature selective epitaxy for enhanced device performance. More specifically, one method begins by forming a first gate and a second gate on a substrate. Next, an oxide layer is formed on the first and second gates; and, a nitride layer is formed on the oxide layer. Portions of the nitride layer proximate the first gate, portions of the oxide layer proximate the first gate, and portions of the substrate proximate the first gate are removed so as to form source and drain recesses proximate the first gate. Following this, the method removes remaining portions of the nitride layer, including exposing remaining portions of the oxide layer. The removal of the remaining portions of the nitride layer only exposes the remaining portions of the oxide layer and the source and drain recesses.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: June 3, 2008
    Assignee: International Business Machines Corporation
    Inventors: Huajie Chen, Judson R. Holt, Kern Rim, Dominic J. Schepis
  • Publication number: 20080124909
    Abstract: The present invention provides methods of cleaning a semiconductor device by removing contaminants, such as particles and/or etching by-products, from a structure of a semiconductor device using a first cleaning solution including a mixture of ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2) and deionized (DI) water, and a second cleaning solution including ozone (O3) water. The present invention also provides methods of manufacturing a semiconductor device using these methods of cleaning the semiconductor device.
    Type: Application
    Filed: November 9, 2007
    Publication date: May 29, 2008
    Inventors: Keum-Joo Lee, Jin-Hye Bae, Dae-Keun Kang
  • Patent number: 7378323
    Abstract: A gate electrode is formed on a substrate with a gate insulating layer therebetween. A liner is then deposited on sidewalls of the gate electrode. Source/drain extensions are implanted into the substrate. A first spacer is then formed on the liner. Deep source/drain are implanted into the substrate. A second spacer is formed at the foot of the first spacer. A tilt-angle pre-amorphization implant (PAI) is conducted to form an amorphized layer next to the second spacer. A metal layer is then sputtered on the amorphized layer. The metal layer reacts with the amorphized layer to form a metal silicide layer thereto.
    Type: Grant
    Filed: July 7, 2006
    Date of Patent: May 27, 2008
    Assignee: United Microelectronics Corp.
    Inventor: Ming-Tsung Chen
  • Patent number: 7378308
    Abstract: A semiconductor structure includes a substrate, and a first MOS device on the first region of the substrate wherein the first MOS device includes a first spacer liner. The semiconductor structure further includes a second MOS device on the second region wherein the second MOS device includes a second spacer liner. A first stressed film having a first thickness is formed over the first MOS device and directly on the first spacer liner. A second stressed film having a second thickness is formed over the second MOS device and directly on the second spacer liner. The first and the second stressed films may be formed of a same material.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: May 27, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ju-Wang Hsu, Chih-Hsin Ko, Jyu-Horng Shieh, Baw-Ching Perng, Syun-Ming Jang
  • Publication number: 20080116493
    Abstract: A semiconductor structure includes a multi-layer spacer located adjacent and adjoining a sidewall of a topographic feature within the semiconductor structure. The multi-layer spacer includes a first spacer sub-layer comprising a deposited silicon oxide material laminated to a second spacer sub-layer comprising a material that is other than the deposited silicon oxide material. The first spacer sub-layer is recessed with respect to the second spacer sub-layer by a recess distance of no greater than a thickness of the first spacer sub-layer (and preferably from about 50 to about 150 angstroms). Such a recess distance is realized through use of a chemical oxide removal (COR) etchant that is self limiting for the deposited silicon oxide material with respect to a thermally grown silicon oxide material. Dimensional integrity and delamination avoidance is thus assured for the multi-layer spacer layer.
    Type: Application
    Filed: November 17, 2006
    Publication date: May 22, 2008
    Inventors: James W. Adkisson, Marc W. Cantell, James R. Elliott, James V. Hart, Dale W. Martin
  • Patent number: 7374635
    Abstract: A gate insulation film (104) of a MISFET (100) is constituted of a silicon oxide film (106), silicon nitride film (107), and high-permittivity film (108). The silicon oxide film (106) and silicon nitride film (107) are formed by microwave plasma processing with a radial line slot antenna.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: May 20, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Shigemi Murakawa, Toshikazu Kumai, Toshio Nakanishi
  • Patent number: 7368385
    Abstract: The present invention relates to a method for producing a structure serving as an etching mask on the surface of a substrate. In this case, a first method involves forming a first partial structure on the surface of the substrate, which has structure elements that are arranged regularly and are spaced apart essentially identically. A second method involves forming spacers on the surface of the substrate, which adjoin sidewalls of the structure elements of the first partial structure, cutouts being provided between the spacers. A third method step involves introducing filling material into the cutouts between the spacers, a surface of the spacers being uncovered. A fourth method step involves removing the spacers in order to form a second partial structure having the filling material and having structure elements that are arranged regularly and are spaced apart essentially identically. The structure to be produced is composed of the first partial structure and the second partial structure.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: May 6, 2008
    Assignee: Infineon Technologies AG
    Inventors: Christoph Nölscher, Dietmar Temmler, Peter Moll
  • Publication number: 20080093660
    Abstract: A flash memory device includes a semiconductor substrate, a gate insulating layer having a first width formed on the semiconductor substrate to trap carriers tunneled from the semiconductor substrate and a metal electrode on the gate insulating layer to receive a voltage required for tunneling. The metal electrode having a second width smaller than the first width. The flash memory device further includes a sidewall spacer surrounding a side surface of the metal electrode to prevent oxidation of the metal electrode.
    Type: Application
    Filed: January 12, 2007
    Publication date: April 24, 2008
    Inventors: Hee-Sook Park, Byung-Hak Lee, Tae-Ho Cha, Woong-Hee Sohn, Jang-Hee Lee, Jae-Hwa Park
  • Patent number: 7361565
    Abstract: In a method of forming a metal gate in a semiconductor device, a gate insulation pattern and a dummy gate pattern are formed on a substrate. An insulation interlayer is formed on the dummy gate pattern to cover the dummy gate pattern. The insulation interlayer is polished such that a top surface of the dummy gate pattern is exposed, and the dummy gate pattern is selectively removed to form a trench on the substrate. A gate spacer is formed on an inner sidewall of the trench for determining a gate length of the metal gate. A metal is deposited to a sufficient thickness to fill the trench to form a metal layer. The metal layer is polished to remain in the trench. Accordingly, the gate length of the metal gate may be reduced no more than the resolution limit of the photolithography exposing system.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-Ho Shin, Jong-Hyon Ahn, Kong-Soo Cheong, Jin-Won Jun
  • Patent number: 7358139
    Abstract: The invention includes methods of forming field effect transistors. In one implementation, a method of forming a field effect transistor having a gate comprising a conductive metal or metal compound received over conductively doped semiconductive material includes forming transistor gate semiconductive material into a gate line over a semiconductive material channel region. The gate line includes semiconductive material sidewalls. The semiconductive material sidewalls of the gate line are oxidized. After the oxidizing, at least one of a conductive metal or metal compound is formed in electrical connection with the transistor gate semiconductive material to comprise a substantially coextensive elongated portion of a final construction of the gate line of the field effect transistor being formed.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: April 15, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Andrew R. Bicksler, Sukesh Sandhu
  • Patent number: 7358179
    Abstract: After a HEMT is formed, side walls are formed on a semiconductor substrate. Next, a sacrificial layer is formed to cover the HEMT. Next, contact holes are formed in the sacrificial layer to expose upper surfaces of source electrodes. Next, a metal interconnect line is formed by patterning a metal film formed on the entire top surface. Next, slits are formed in the metal interconnect line to partially expose an upper surface of the sacrificial layer. After the sacrificial layer is dissolved, the dissolved sacrificial layer is discharged through the slits to the outside. An air space is formed as a result of the removal of the sacrificial layer.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: April 15, 2008
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Tetsuya Ogawa, Toshiaki Kitano, Hiroyuki Minami
  • Patent number: 7354837
    Abstract: A fabrication method for a semiconductor device is provided. A substrate has an array area with a first gate and a peripheral area with a second gate. First and second isolation layers made of different materials are sequentially formed to cover the first gate, the second gate and the substrate. A portion of the second isolation layer is removed to form spacers on sidewalls of the first and second gates and expose the first isolation layer on a top of the first gate, a top of the second gate, and a surface of the substrate. The spacers on the first isolation layer in the array area are removed. The first isolation layer on the top of the first gate and the surface of the substrate is removed, thereby leaving a portion of the first isolation layer covering on the sidewalls of the first gate.
    Type: Grant
    Filed: September 2, 2005
    Date of Patent: April 8, 2008
    Assignee: ProMOS Technologies Inc.
    Inventors: Chao-Hsi Chung, Chu-Chun Hu, Chih-Cheng Wang
  • Patent number: 7348249
    Abstract: A method for manufacturing a semiconductor device reduces or prevents copper contamination. The method includes forming a gate electrode on a substrate; forming a first oxide layer on a front surface of the substrate including the gate electrode; depositing a nitride layer (simultaneously) on the first oxide layer and a rear surface of the substrate; depositing a second oxide layer on the nitride layer; removing the second oxide layer from the rear surface of the substrate; and forming spacers at sides of the gate electrode by etching the second oxide layer, the nitride layer, and the first oxide layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: March 25, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Jea Hee Kim
  • Patent number: 7335581
    Abstract: A method of manufacturing a semiconductor memory device includes the steps of providing a gate insulating film on an active region, depositing a first conductive film on the gate insulating film, processing the first conductive film, the gate insulating film, and the active region to provide an opening of which the bottom is located below the interface between the active region and the gate insulating film and then providing a gate electrode between the openings, depositing a first insulating film which covers the side and bottom surface of the opening, depositing a second insulating film over the first insulating film, shaping the first and second insulating films into a side wall spacer shape by etching to provide charge retention sections beside the gate electrode and providing diffusion areas at opposite sides of the gate electrode beneath the charge retention sections in the active region.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: February 26, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Masahiro Saitoh, Masahiko Yanagi, Toshiyuki Tohda
  • Patent number: 7335544
    Abstract: A metal-oxide-semiconductor field-effect transistors (MOSFET) having localized stressors is provided. In accordance with embodiments of the present invention, a transistor comprises a high-stress film over the source/drain regions, but not over the gate electrode. The high-stress film may be a tensile-stress film for use with n-channel devices or a compressive-stress film for use with p-channel devices. A method of fabricating a MOSFET with localized stressors over the source/drain regions comprises forming a transistor having a gate electrode and source/drain regions, forming a high-stress film over the gate electrode and the source/drain regions, and thereafter removing the high-stress film located over the gate electrode, thereby leaving the high-stress film located over the source/drain regions. A contact-etch stop layer may be formed over the transistor.
    Type: Grant
    Filed: December 15, 2004
    Date of Patent: February 26, 2008
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chien-Hao Chen, Donald Y. Chao, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 7332421
    Abstract: A method of forming a gate electrode of a semiconductor device includes forming a damascene pattern for fabricating a metal electrode on an upper part of a poly silicon gate so as to prevent a metal electrode from being oxidized when the poly silicon electrode and the metal electrode are formed simultaneously. The method of forming the gate electrode of the semiconductor device includes the steps of forming a gate including poly silicon with a plurality of layers at an upper part of a silicon substrate, forming a spacer on a sidewall of the gate, vapor depositing inter layer dielectric between gates at the upper part of the substrate, forming a damascene pattern to which a metal electrode is formed, and completing the gate electrode including poly silicon and metal by filling the damascene pattern with a predetermined metal and planarizing the metal.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: February 19, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Yeong Sil Kim
  • Publication number: 20080032492
    Abstract: A method of manufacturing a flash memory device including at least one of the following steps: Forming a poly-silicon layer on a semiconductor substrate. Forming a plurality of photo-resist patterns on the poly-silicon layer to be spaced apart from each other by a predetermined distance. Forming a spacer oxidation film on the photo-resist patterns. Forming spacers on respective side walls of the photo-resist patterns by etching the spacer oxidation film. Forming a plurality of poly-silicon layer patterns by etching the poly-silicon layer using the photo-resist patterns and the spacers as etching resist films. Removing the photo-resist patterns and the spacers that are formed on the poly-silicon layer patterns.
    Type: Application
    Filed: July 23, 2007
    Publication date: February 7, 2008
    Inventor: In-Cheol Baek