Having Sidewall Structure Patents (Class 438/595)
  • Publication number: 20130099313
    Abstract: FinFET structures and methods of manufacturing the FinFET structures are disclosed. The method includes performing an oxygen anneal process on a gate stack of a FinFET structure to induce Vt shift. The oxygen anneal process is performed after sidewall pull down and post silicide.
    Type: Application
    Filed: October 19, 2011
    Publication date: April 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eduard A. CARTIER, Brian J. GREENE, Dechao GUO, Gan WANG, Yanfeng WANG, Keith Kwong Hon WONG
  • Publication number: 20130102145
    Abstract: A metal gate process includes the following steps. An isolating layer on a substrate is provided, where the isolating layer has a first recess and a second recess. A first metal layer covering the first recess and the second recess is formed. A material is filled in the first recess but exposing a top part of the first recess. The first metal layer in the top part of the first recess and in the second recess is simultaneously removed. The material is removed. A second metal layer and a metal gate layer in the first recess and the second recess are sequentially filled.
    Type: Application
    Filed: October 24, 2011
    Publication date: April 25, 2013
    Inventors: Kuang-Hung Huang, Po-Jui Liao, Yao-Chang Wang, Chi-Sheng Tseng, Jie-Ning Yang
  • Publication number: 20130095648
    Abstract: In a dual stress liner approach, the surface conditions after the patterning of a first stress-inducing layer may be enhanced by appropriately designing an etch sequence for substantially completely removing an etch stop material, which may be used for the patterning of the second stress-inducing dielectric material, while, in other cases, the etch stop material may be selectively formed after the patterning of the first stress-inducing dielectric material. Hence, the dual stress liner approach may be efficiently applied to semiconductor devices of the 45 nm technology and beyond.
    Type: Application
    Filed: November 20, 2012
    Publication date: April 18, 2013
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventor: Advanced Micro Devices, Inc.
  • Publication number: 20130095647
    Abstract: A method of fabricating an integrated circuit device is provided. The method includes forming a replacement gate structure with a dummy polysilicon layer on a first surface of a substrate. The method further includes depositing a dielectric layer by a thermal process to form offset spacers on two opposing sides of the replacement gate structure, wherein the dielectric layer is deposited on the first surface and a second surface opposing the first surface of the substrate. The method further includes removing the dummy polysilicon layer from the replacement gate structure, wherein the dielectric layer on the second surface of the substrate protects the second surface of the substrate during the removing step.
    Type: Application
    Filed: November 19, 2012
    Publication date: April 18, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Taiwan Semiconductor Manufacturing Company, L
  • Patent number: 8421166
    Abstract: A method for forming a semiconductor device is disclosed. A substrate including a gate dielectric layer and a gate electrode layer sequentially formed thereon is provided. An offset spacer is formed on sidewalls of the gate dielectric layer and the gate electrode layer. A carbon spacer is formed on a sidewall of the offset spacer, and the carbon spacer is then removed. The substrate is implanted to form a lightly doped region using the gate electrode layer and the offset spacer as a mask. The method may also include providing a substrate having a gate dielectric layer and a gate electrode layer sequentially formed thereon. A liner layer is formed on sidewalls of the gate electrode layer and on the substrate. A carbon spacer is formed on a portion of the liner layer adjacent the sidewall of the gate electrode layer. A main spacer is formed on a sidewall of the carbon spacer. The carbon spacer is removed to form an opening between the liner layer and the main spacer.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: April 16, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Min-Hwa Chi, Wen-Chuan Chiang, Mu-Chi Chiang, Cheng-Ku Chen
  • Patent number: 8409937
    Abstract: A method of producing a transistor includes providing a substrate including in order a first electrically conductive material layer, a second electrically conductive material layer, and a third electrically conductive material layer. A resist material layer is deposited over the third electrically conductive material layer. The resist material layer is patterned to expose a portion of the third electrically conductive material layer. Some of the third electrically conductive material layer is removed to expose a portion of the second electrically conductive material layer. The third electrically conductive material layer is caused to overhang the second electrically conductive material layer by removing some of the second electrically conductive material layer. Some of the first electrically conductive material layer is removed.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: April 2, 2013
    Assignee: Eastman Kodak Company
    Inventors: Lee W. Tutt, Shelby F. Nelson
  • Patent number: 8404534
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of gate structures on a semiconductor substrate. The plurality of gate structures are arranged in a plurality of lines, wherein an end-to-end spacing between the lines is smaller than a line-to-line spacing between the lines. The method further includes forming an etch stop layer over the gate structures, forming an interlayer dielectric over the gate structures, and forming a dielectric film over the gate structures before the interlayer dielectric is formed. The dielectric film merges in end-to-end gaps formed in the end-to-end spacing between the gate structures.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: March 26, 2013
    Inventor: Shiang-Bau Wang
  • Patent number: 8404600
    Abstract: A mold having an open interior volume is used to define patterns. The mold has a ceiling, floor and sidewalls that define the interior volume and inhibit deposition. One end of the mold is open and an opposite end has a sidewall that acts as a seed sidewall. A first material is deposited on the seed sidewall. A second material is deposited on the deposited first material. The deposition of the first and second materials is alternated, thereby forming alternating rows of the first and second materials in the interior volume. The mold and seed layer are subsequently selectively removed. In addition, one of the first or second materials is selectively removed, thereby forming a pattern including free-standing rows of the remaining material. The free-standing rows can be utilized as structures in a final product, e.g., an integrated circuit, or can be used as hard mask structures to pattern an underlying substrate. The mold and rows of material can be formed on multiple levels.
    Type: Grant
    Filed: June 17, 2008
    Date of Patent: March 26, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej Sandhu
  • Publication number: 20130062702
    Abstract: A method of forming a complementary metal oxide semiconductor (CMOS) structure having multiple threshold voltage devices includes forming a first transistor device and a second transistor device on a semiconductor substrate. The first transistor device and second transistor device initially have sacrificial dummy gate structures. The sacrificial dummy gate structures are removed and a set of vertical oxide spacers are selectively formed for the first transistor device. The set of vertical oxide spacers are in direct contact with a gate dielectric layer of the first transistor device such that the first transistor device has a shifted threshold voltage with respect to the second transistor device.
    Type: Application
    Filed: September 8, 2011
    Publication date: March 14, 2013
    Applicant: International Business Machines Corporation
    Inventors: Kangguo CHENG, Bruce B. DORIS, Ali KHAKIFIROOZ, Pranita KULKARNI
  • Patent number: 8394688
    Abstract: A repair layer forming process includes the following steps. Firstly, a substrate is provided, and a gate structure is formed on the substrate, wherein the gate structure at least includes a gate dielectric layer and a gate conductor layer. Then, a nitridation process is performed to form a nitrogen-containing superficial layer on a sidewall of the gate structure. Then, a thermal oxidation process is performed to convert the nitrogen-containing superficial layer into a repair layer. Moreover, a metal-oxide-semiconductor transistor includes a substrate, a gate dielectric layer, a gate conductor layer and a repair layer. The gate dielectric layer is formed on the substrate. The gate conductor layer is formed on the gate dielectric layer. The repair layer is at least partially formed on a sidewall of the gate conductor layer.
    Type: Grant
    Filed: June 27, 2011
    Date of Patent: March 12, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Chien-Liang Lin, Ying-Wei Yen, Yu-Ren Wang
  • Patent number: 8383503
    Abstract: Methods for forming semiconductor structures using selectively-formed sidewall spacers are provided. One method comprises forming a first structure and a second structure. The second structure has a height that is greater than the first structure's height. A first sidewall spacer-forming material is deposited overlying the first structure and the second structure. A second sidewall spacer-forming material is deposited overlying the first sidewall spacer-forming material. A composite spacer is formed about the second structure, the composite spacer comprising the first sidewall spacer-forming material and the second sidewall spacer-forming material. The second sidewall spacer-forming material is removed from the first structure and the first sidewall spacer-forming material is removed from the first structure.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: February 26, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Frank Scott Johnson
  • Patent number: 8384166
    Abstract: A semiconductor device manufacturing method includes the steps of: successively forming, on a semiconductor substrate, a gate insulating film and first and second dummy sections stacked in this order; forming a notch section by processing the gate insulating film and the first and second dummy gate sections into a previously set pattern and making the first dummy gate section move back in the gate length direction relative to the second dummy gate section; forming a side wall of an insulating material in a side part of each of the gate insulating film and the first and second dummy gate sections and embedding the notch section therewith; removing the first and second dummy gate sections to leave the gate insulating film and the notch section in the bottom of a removed portion; and forming a gate electrode made of a conductive material by embedding the removed portion with the conductive material.
    Type: Grant
    Filed: June 2, 2009
    Date of Patent: February 26, 2013
    Assignee: Sony Corporation
    Inventor: Kaori Takimoto
  • Patent number: 8383501
    Abstract: Vertical field effect transistor semiconductor structures and methods for fabrication of the vertical field effect transistor semiconductor structures provide an array of semiconductor pillars. Each vertical portion of each semiconductor pillar in the array of semiconductor pillars has a linewidth greater than a separation distance to an adjacent semiconductor pillar. Alternatively, the array may comprise semiconductor pillars with different linewidths, optionally within the context of the foregoing linewidth and separation distance limitations. A method for fabricating the array of semiconductor pillars uses a minimally photolithographically dimensioned pillar mask layer that is annularly augmented with at least one spacer layer prior to being used as an etch mask.
    Type: Grant
    Filed: July 18, 2011
    Date of Patent: February 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Matthew J. Breitwisch, Chung H. Lam, Alejandro G. Schrott
  • Publication number: 20130037866
    Abstract: A method for forming a semiconductor device includes providing a substrate and depositing a gate stack having a side periphery on the substrate. A first liner dielectric layer is deposited on the substrate and the gate stack. A first spacer dielectric layer is deposited on the first liner dielectric layer. The first spacer dielectric layer is selectively etched such that the first spacer dielectric layer remains adjacent at least a portion of the side periphery of the gate stack. A first resist mask is disposed on a first portion of the first spacer dielectric layer such that the first portion of the first spacer dielectric layer is protected by the resist mask and a second portion of the first spacer dielectric layer is not protected by the resist mask. The first spacer dielectric layer is etched such that the second portion is removed and the first portion remains.
    Type: Application
    Filed: August 12, 2011
    Publication date: February 14, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Hans-Jürgen Thees, Roman Boschke, Ralf Otterbach
  • Patent number: 8372716
    Abstract: In one embodiment, a semiconductor device is formed having vertical localized charge-compensated trenches, trench control regions, and sub-surface doped layers. The vertical localized charge-compensated trenches include at least a pair of opposite conductivity type semiconductor layers. The trench control regions are configured to provide a generally vertical channel region electrically coupling source regions to the sub-surface doped layers. The sub-surface doped layers are further configured to electrically connect the drain-end of the channel to the vertical localized charge compensation trenches. Body regions are configured to isolate the sub-surface doped layers from the surface of the device.
    Type: Grant
    Filed: May 2, 2011
    Date of Patent: February 12, 2013
    Assignee: Semiconductor Components Industries, LLC
    Inventors: Gary H. Loechelt, Peter J. Zdebel
  • Publication number: 20130029482
    Abstract: The disclosure relates to spacer structures of a semiconductor device. An exemplary structure for a semiconductor device comprises a substrate having a first active region and a second active region; a plurality of first gate electrodes having a gate pitch over the first active region, wherein each first gate electrode has a first width; a plurality of first spacers adjoining the plurality of first gate electrodes, wherein each first spacer has a third width; a plurality of second gate electrodes having the same gate pitch as the plurality of first gate electrodes over the second active region, wherein each second gate electrode has a second width greater than the first width; and a plurality of second spacers adjoining the plurality of second gate electrodes, wherein each second spacer has a fourth width less than the third width.
    Type: Application
    Filed: October 5, 2012
    Publication date: January 31, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: TAIWAN SEMICONDUCTOR MANUFAC
  • Patent number: 8361869
    Abstract: The present application discloses a method for manufacturing a gate-all-around field effect transistor, comprising the steps of: forming a suspended fin in a semiconductor substrate; forming a gate stack around the fin; and forming source/drain regions in the fin on both sides of the gate stack, wherein an isolation dielectric layer is formed in a portion of the semiconductor substrate which is adjacent to bottom of both the fin and the gate stack. The present invention relates to a method for manufacturing a gate-all-around device on a bulk silicon substrate, which suppress a self-heating effect and a floating-body effect of the SOI substrate, and lower a manufacture cost. The inventive method is a conventional top-down process with respect to a reference plane, which can be implemented as a simple manufacture process, and is easy to be integrated into and compatible with a planar CMOS process. The inventive method suppresses a short channel effect and promotes miniaturization of MOSFETs.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: January 29, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huajie Zhou, Yi Song, Qiuxia Xu
  • Publication number: 20130020658
    Abstract: In a replacement gate scheme, a continuous material layer is deposited on a bottom surface and a sidewall surface in a gate cavity. A vertical portion of the continuous material layer is removed to form a gate component of which a vertical portion does not extend to a top of the gate cavity. The gate component can be employed as a gate dielectric or a work function material portion to form a gate structure that enhances performance of a replacement gate field effect transistor.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 24, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dechao Guo, Shu-Jen Han, Keith Kwong Hon Wong, Jun Yuan
  • Publication number: 20130017656
    Abstract: A method of fabricating semiconductor device is provided. First, a recess having a substantially rectangular cross section is formed in a substrate. Then, oxide layers are formed on sidewalls and bottom of the recess by oxygen ion implantation process, wherein oxide layer on sidewalls of recess is thinner than oxide layer on bottom of recess. Thereafter, oxide layer on sidewalls of recess is completely removed, and only a portion of oxide layer on bottom of recess remains. Then, sidewalls of recess are shaped into ? form by orientation selective wet etching using oxide layer remained on bottom of recess as a stop layer. Finally, oxide layer on bottom of recess is removed. By forming oxide layer on bottom of recess and using it as stop layer in subsequent orientation selective wet etching, the disclosed method can prevent a ?-shaped recess with a cuspate bottom.
    Type: Application
    Filed: November 4, 2011
    Publication date: January 17, 2013
    Applicant: Semiconductor Manufacturing International (Beijing) Corporation
    Inventors: Qingsong Wei, Wei Lu, Wuping Liu, Yonggen He
  • Publication number: 20130015524
    Abstract: A semiconductor device having a metal gate includes a substrate having a plurality of shallow trench isolations (STIs) formed therein, at least a metal gate positioned on the substrate, and at least a pair of auxiliary dummy structures respectively positioned at two sides of the metal gate and on the substrate.
    Type: Application
    Filed: July 12, 2011
    Publication date: January 17, 2013
    Inventors: Chun-Wei Hsu, Po-Cheng Huang, Teng-Chun Tsai, Chia-Lin Hsu, Chih-Hsun Lin, Yen-Ming Chen, Chia-Hsi Chen, Chang-Hung Kung
  • Publication number: 20130017680
    Abstract: A method of making a gate of a field effect transistor (FET) with improved fill by a replacement gate process using a sacrificial film includes providing a substrate with a dummy gate. It further includes depositing a sacrificial layer and an encapsulating layer over the substrate, and planarizing so that the encapsulating layer, sacrificial layer and dummy gate are co-planar. The encapsulating layer and a portion of the sacrificial film are removed to leave a remaining sacrificial film. The dummy gate is removed to form and opening in the remaining sacrificial film and to expose sidewalls of the film. Spacers are formed on the sidewalls. A high dielectric constant film and metal film are deposited in the opening and planarized to form a gate. The remaining sacrificial film is removed. The method can be used on planar FETs as well non-planar FETs.
    Type: Application
    Filed: July 14, 2011
    Publication date: January 17, 2013
    Applicant: International Business Machines Corporation
    Inventors: Balasubramanian S. Haran, James J. Demarest
  • Patent number: 8349692
    Abstract: A FinFET (p-channel) device is formed having a fin structure with sloped or angled sidewalls (e.g., a pyramidal or trapezoidal shaped cross-section shape). When using conventional semiconductor substrates having a (100) surface orientation, the fin structure is formed in a way (groove etching) which results in sloped or angled sidewalls having a (111) surface orientation. This characteristic substantially increases hole mobility as compared to conventional fin structures having vertical sidewalls.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: January 8, 2013
    Assignee: GlobalFoundries Singapore Pte. Ltd.
    Inventors: Chung Foong Tan, Eng Huat Toh, Jae Gon Lee, Sanford Chu
  • Publication number: 20130005134
    Abstract: The semiconductor device includes a silicon substrate having a channel region, a gate electrode formed over the channel region, buried semiconductor regions formed in a surface of the silicon substrate on both sides of the gate electrode, for applying to the surface of the silicon substrate a first stress in a first direction parallel to the surface of the silicon substrate, and stressor films formed on the silicon substrate between the channel region and the buried semiconductor regions in contact with the silicon substrate, for applying to the silicon substrate a second stress in a second direction which is opposite to the first direction.
    Type: Application
    Filed: September 11, 2012
    Publication date: January 3, 2013
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Naoyoshi Tamura
  • Publication number: 20130005133
    Abstract: A method of manufacturing a semiconductor device can uniformly form a metal gate irrespective of gate pattern density. The method includes forming an interlayer dielectric layer having a trench on a substrate, forming a metal layer having first, second and third sections extending along the sides of the trench, the bottom of the trench and on the interlayer dielectric layer, respectively, forming a sacrificial layer pattern exposing an upper part of the first section of the metal layer, forming a spacer pattern on the exposed part of the first section of the metal layer, and forming a first gate metal layer by etching the first section of the metal layer using the sacrificial layer pattern and the spacer pattern as masks.
    Type: Application
    Filed: June 15, 2012
    Publication date: January 3, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: JUNG-CHAN LEE, DAE-YOUNG KWAK, SEUNG-JAE LEE, JAE-SUNG HUR, SANG-BOM KANG, BYUNG-SUK JUNG, Zulkarnain
  • Publication number: 20120329262
    Abstract: A method for manufacturing a semiconductor may include providing a substrate having first and second regions defined therein, forming an interlayer dielectric layer including first and second trenches formed in the first and second regions, respectively, and conformally forming a gate dielectric layer along a top surface of the interlayer dielectric layer, side and bottom surfaces of the first trench and side, and bottom surfaces of the second trench. An etch stop dielectric layer may be formed on the gate dielectric layer, a first metal layer may be formed to fill the first and second trenches, and the first metal layer in the first region may be removed using the etch stop dielectric layer as an etch stopper.
    Type: Application
    Filed: June 20, 2012
    Publication date: December 27, 2012
    Inventors: Hoon-Joo NA, Hyung-Seok Hong, Sang-Bom Kang, Hyeok-Jun Son, June-Hee Lee, Jeong-Hee Han, Sang-Jin Hyun
  • Publication number: 20120326162
    Abstract: A repair layer forming process includes the following steps. Firstly, a substrate is provided, and a gate structure is formed on the substrate, wherein the gate structure at least includes a gate dielectric layer and a gate conductor layer. Then, a nitridation process is performed to form a nitrogen-containing superficial layer on a sidewall of the gate structure. Then, a thermal oxidation process is performed to convert the nitrogen-containing superficial layer into a repair layer. Moreover, a metal-oxide-semiconductor transistor includes a substrate, a gate dielectric layer, a gate conductor layer and a repair layer. The gate dielectric layer is formed on the substrate. The gate conductor layer is formed on the gate dielectric layer. The repair layer is at least partially formed on a sidewall of the gate conductor layer.
    Type: Application
    Filed: June 27, 2011
    Publication date: December 27, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chien-Liang LIN, Ying-Wei Yen, Yu-Ren Wang
  • Publication number: 20120319238
    Abstract: An integrated circuit device and methods of manufacturing the same are disclosed. In an example, integrated circuit device includes a capacitor having a doped region disposed in a semiconductor substrate, a dielectric layer disposed over the doped region, and an electrode disposed over the dielectric layer. At least one post feature embedded in the electrode.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 20, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hak-Lay Chuang, Ming Zhu
  • Publication number: 20120319180
    Abstract: An integrated circuit device and methods of manufacturing the same are disclosed. In an example, integrated circuit device includes a gate structure disposed over a substrate; a source region and a drain region disposed in the substrate, wherein the gate structure interposes the source region and the drain region; and at least one post feature embedded in the gate structure.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 20, 2012
    Inventors: Harry-Hak-Lay Chuang, Ming Zhu
  • Patent number: 8334198
    Abstract: The invention relates to integrated circuit fabrication, and more particularly to a semiconductor device with a plurality of gate structures. An exemplary method of fabricating the plurality of gate structures comprises providing a silicon substrate; depositing a dummy oxide layer over the substrate; depositing a dummy gate electrode layer over the dummy oxide layer; patterning the layers to define a plurality of dummy gates; forming nitrogen-containing sidewall spacers on the plurality of dummy gates; forming an interlayer dielectric layer between the nitrogen-containing sidewall spacers; selectively depositing a hard mask layer on the interlayer dielectric layer by an atomic layer deposition (ALD) process; removing the dummy gate electrode layer; removing the dummy oxide layer; depositing a gate dielectric; and depositing a gate electrode.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: December 18, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jian-Hao Chen, Wei-Yang Lee, Wei-Yeh Tang, Xiong-Fei Yu, Kuang-Yuan Hsu
  • Publication number: 20120313187
    Abstract: Disclosed herein is a method of forming a semiconductor device. In one example, the method includes forming a gate electrode structure above a semiconducting substrate, wherein the gate electrode structure includes a gate insulation layer, a gate electrode, a first sidewall spacer positioned proximate the gate electrode, and a gate cap layer, and forming an etch stop layer above the gate cap layer and above the substrate proximate the gate electrode structure. The method further includes forming a layer of spacer material above the etch stop layer, and performing at least one first planarization process to remove the portion of said layer of spacer material positioned above the gate electrode, the portion of the etch stop layer positioned above the gate electrode and the gate cap layer.
    Type: Application
    Filed: June 7, 2011
    Publication date: December 13, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Peter Baars, Till Schloesser, Frank Jakubowski
  • Patent number: 8329549
    Abstract: Sophisticated gate stacks including a high-k dielectric material and a metal-containing electrode material may be covered by a protection liner, such as a silicon nitride liner, which may be maintained throughout the entire manufacturing sequence at the bottom of the gate stacks. For this purpose, a mask material may be applied prior to removing cap materials and spacer layers that may be used for encapsulating the gate stacks during the selective epitaxial growth of a strain-inducing semiconductor alloy. Consequently, enhanced integrity may be maintained throughout the entire manufacturing sequence, while at the same time one or more lithography processes may be avoided.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: December 11, 2012
    Assignee: Advanced Micro Devices Inc.
    Inventors: Sven Beyer, Frank Seliger, Gunter Grasshoff
  • Patent number: 8329546
    Abstract: A method of fabricating a semiconductor device is illustrated. A modified profile opening is formed on a substrate. The modified profile opening includes a first width proximate a surface of the substrate and a second width opposing the substrate. The second width is greater than the first width. A metal gate electrode is formed by filling the modified profile opening with a conductive material. A semiconductor device is also described, the device having a metal gate structure with a first width and a second, differing, width.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: December 11, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Da-Yuan Lee, Kuang-Yuan Hsu, Matt Yeh, Yi-Chen Huang, Fan-Yi Hsu, Hui Ouyang, Ming-Jie Huang, Shin Hsien Liao
  • Patent number: 8329570
    Abstract: A method of manufacturing a semiconductor device, comprising, forming a first gate electrode in a first region of a semiconductor substrate and forming a second gate electrode in a second region of the semiconductor substrate, forming a first sidewall along a lateral wall of the first gate electrode and forming a second sidewall along a lateral wall of the second gate electrode, forming an oxide film to cover the semiconductor substrate, the first gate electrode, the second gate electrode, the first sidewall and the second sidewall, forming a resist above the oxide film to cover the first region, removing the oxide film in the second region by etching the oxide film with the resist serving as a mask, removing the resist, and executing a plasma process by using a gas containing chlorine with respect to the semiconductor substrate and the oxide film in the first region.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: December 11, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masahiro Fukuda, Ken Sugimoto, Masatoshi Nishikawa
  • Publication number: 20120309171
    Abstract: A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate, wherein the substrate comprises a gate structure thereon; forming a film stack on the substrate and covering the gate structure, wherein the film stack comprises at least an oxide layer and a nitride layer; removing a portion of the film stack for forming recesses adjacent to two sides of the gate structure and a disposable spacer on the sidewall of the gate structure; and filling the recesses with a material comprising silicon atoms for forming a faceted material layer.
    Type: Application
    Filed: May 30, 2011
    Publication date: December 6, 2012
    Inventors: Tsuo-Wen Lu, Wen-Yi Teng, Yu-Ren Wang, Gin-Chen Huang, Chien-Liang Lin, Shao-Wei Wang, Ying-Wei Yen, Ya-Chi Cheng, Shu-Yen Chan, Chan-Lon Yang
  • Publication number: 20120309182
    Abstract: Disclosed herein is a method of forming sidewall spacers for a semiconductor device. In one example, the method comprises forming a gate electrode structure above a semiconducting substrate. performing a non-conformal deposition process to deposit a layer of spacer material above the gate electrode structure and performing an anisotropic etching process on the layer of spacer material to define a first sidewall spacer proximate a first side of the gate electrode structure and a second sidewall spacer proximate a second side of the gate electrode structure, wherein the first and second sidewall spacers have different widths.
    Type: Application
    Filed: May 31, 2011
    Publication date: December 6, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Stefan Flachowsky, Jan Hoentschel, Peter Javorka
  • Publication number: 20120292671
    Abstract: Disclosed herein is a method of forming a semiconductor device. In one example, the method comprises forming a gate electrode structure above a semiconducting substrate and forming a plurality of spacers proximate the gate electrode structures, wherein the plurality of spacers comprises a first silicon nitride spacer positioned adjacent a sidewall of the gate electrode structure, a generally L-shaped silicon nitride spacer positioned adjacent the first silicon nitride spacer, and a silicon dioxide spacer positioned adjacent the generally L-shaped silicon nitride spacer.
    Type: Application
    Filed: May 16, 2011
    Publication date: November 22, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Peter Baars, Sven Beyer, Jan Hoentschel, Thilo Scheiper
  • Publication number: 20120292699
    Abstract: A semiconductor apparatus and a manufacturing method therefor is described. The semiconductor apparatus comprises a substrate and a gate structure for a N-channel semiconductor device above the substrate. A recess is formed at a lower end portion of at least one of two sides of the gate where it is adjacent to a source region and a drain region, of the N-channel semiconductor. The channel region of the N-channel semiconductor device has enhanced strain. The apparatus can further have a gate structure for a P-channel semiconductor device above the substrate.
    Type: Application
    Filed: March 27, 2012
    Publication date: November 22, 2012
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Xinpeng Wang
  • Patent number: 8313991
    Abstract: A method is provided for fabricating a high-K metal gate MOS device. The method includes providing a semiconductor substrate having a surface region, a gate oxide layer on the surface region, a sacrificial gate electrode on the gate oxide layer, and a covering layer on the sacrificial gate electrode, an inter-layer dielectric layer on the semiconductor substrate and the sacrificial gate electrode. The method also includes planarizing the inter-layer dielectric layer to expose a portion of the covering layer atop the sacrificial gate electrode, implanting nitrogen ions into the inter-layer dielectric layer until a depth of implantation is deeper than a thickness of the portion of the covering layer atop the sacrificial gate electrode and polishing the inter-layer dielectric layer to expose a surface of the sacrificial gate electrode, removing the sacrificial gate electrode, and depositing a metal gate.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: November 20, 2012
    Assignee: Semiconductor Manufacturing International Corp
    Inventors: Li Jiang, Mingqi Li
  • Publication number: 20120267728
    Abstract: A semiconductor device and a method of making a semiconductor device are disclosed. The method of manufacturing a semiconductor device comprises forming a material layer on a substrate, patterning a first semi-global region with a first main pattern and patterning a second semi-global region with a second main pattern, wherein the first main pattern is different than the second main pattern. The method further comprises introducing a first dummy pattern in the first semi-global region so that a first sidewall area surface density of the first main pattern and the first dummy pattern in the first semi-global region and a second sidewall area surface density of the second main pattern in the second semi-global region are substantially a same density.
    Type: Application
    Filed: April 21, 2011
    Publication date: October 25, 2012
    Inventors: Frank Huebinger, Steffen Rothenhaeusser, Kerstin Kaemmer
  • Publication number: 20120270343
    Abstract: A polishing method and a method for forming a gate are provided. The method includes forming a dummy gate on a semiconductor substrate including a sacrificial oxide layer and a polysilicon layer which covers the sacrificial oxide layer, forming spacers around the dummy gate, and successively forming a silicon nitride layer and a dielectric layer covering the silicon nitride layer. The method further includes polishing the dielectric layer until the silicon nitride layer is exposed, polishing the silicon nitride layer on a fixed abrasive pad until the polysilicon layer is exposed by using a polishing slurry with a PH value ranging from 10.5 to 11 and comprising an anionic surfactant or a zwitterionic surfactant. Additionally, the method includes forming an opening after removing the dummy gate, and forming a gate in the opening. The method eliminates potential erosion and dishing caused in the polishing of the silicon nitride layer.
    Type: Application
    Filed: September 23, 2011
    Publication date: October 25, 2012
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: LI JIANG, MINGQI LI
  • Patent number: 8293600
    Abstract: Memory devices and methods for manufacturing are described herein. A memory device as described herein includes a first electrode layer, a second electrode layer, and a thermal isolation structure including a layer of thermal isolation material between the first and second electrode layers. The first and second electrode layers and the thermal isolation structure define a multi-layer stack having a sidewall. A sidewall conductor layer including a sidewall conductor material is on the sidewall of the multi-layer stack. The sidewall conductor material has an electrical conductivity greater than that of the thermal isolation material. A memory element including memory material is on and in contact with the second electrode layer.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: October 23, 2012
    Assignee: Macronix International Co., Ltd.
    Inventor: Shih-Hung Chen
  • Publication number: 20120264286
    Abstract: In a method of manufacturing a semiconductor device, the method comprises: forming a dummy gate pattern on a substrate; and forming first spacers at side surfaces of the dummy gate pattern to expose upper portions of the side surfaces of the dummy gate pattern. Sacrificial film patterns are formed on regions of the upper portions of the side surfaces of the dummy gate pattern which are exposed by the first spacers. Second spacers are formed on the first spacers and the sacrificial film patterns. An interlayer insulating film is formed to cover the substrate, the second spacers and the dummy gate pattern. A top surface of the dummy gate pattern is exposed by planarizing the interlayer insulating film, and a trench is formed by removing the dummy gate pattern and the sacrificial film patterns.
    Type: Application
    Filed: February 8, 2012
    Publication date: October 18, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: In-Joon Yeo
  • Patent number: 8289126
    Abstract: A higher precision resistive element suppresses variation of the resistance value due to variation of film thickness. A resistive element includes a first portion having a first film thickness and a first width, and a second portion having the first film thickness and a second width determined by the first width. The sum of the first and second widths is constant. The first portion has an upper surface at a position at which a height from the bottom surface of the resistive element first portion is a first height. The resistive element second portion has an upper surface of the resistive element second portion at a position at which a height from a surface including the bottom surface of the resistive element first portion is the first height. The resistive element first portion and the resistive element second portion are coupled to each other via a coupling portion.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: October 16, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Keita Kumamoto
  • Patent number: 8288262
    Abstract: A method for fabricating a semiconductor device is described. A polysilicon layer is formed on a substrate. The polysilicon layer is doped with an N-type dopant. A portion of the polysilicon layer is then removed to form a plurality of dummy patterns. Each dummy pattern has a top, a bottom, and a neck arranged between the top and the bottom, where the width of the neck is narrower than that of the top. A dielectric layer is formed on the substrate to cover the substrate disposed between adjacent dummy patterns, and the top of each dummy pattern is exposed. Thereafter, the dummy patterns are removed to form a plurality of trenches in the dielectric layer. A plurality of gate structures is formed in the trenches, respectively.
    Type: Grant
    Filed: October 20, 2011
    Date of Patent: October 16, 2012
    Assignee: United Microelectronics Corp.
    Inventor: Chun-Hsien Lin
  • Publication number: 20120248551
    Abstract: The amount of Pt residues remaining after forming Pt-containing NiSi is reduced by performing an O2 flash while shaping gate spacers, and then cleaning and applying a second application of Aqua Regia. Embodiments include sputter depositing a layer of Ni/Pt on a semiconductor substrate, annealing the Ni/Pt layer, wet stripping unreacted Ni, annealing the Ni stripped Ni/Pt layer, stripping unreacted Pt from the annealed Ni/Pt layer, e.g., with Aqua Regia, treating the Pt stripped Ni/Pt layer with an oxygen plasma, cleaning the Ni/Pt layer, and stripping unreacted Pt from the cleaned Ni/Pt layer, e.g., with a second application of Aqua Regia.
    Type: Application
    Filed: April 4, 2011
    Publication date: October 4, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Peter Baars, Marco Lepper, Uwe Kahler, Vivien Schroeder
  • Publication number: 20120248510
    Abstract: The disclosure provides methods and structures for preventing exposing polysilicon layer and silicon substrate on the substrate backside to polysilicon etching chemistry during removal of the dummy polysilicon layer in replacement gate structures. A thermal deposition process or processes are used to deposit a dielectric layer for offset spacers and/or a contact etch stop layer (CESL) to cover the polysilicon layer on the substrate backside. Such mechanisms reduce or eliminate particles originated at bevel of substrate backside, due to complete removal of the polysilicon layer at the backside bevel and the resultant etching of silicon substrate.
    Type: Application
    Filed: March 31, 2011
    Publication date: October 4, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Jung-Tzu HSU, Ching-Chung PAI, Yu-Hsien LIN, Jyh-Huei CHEN
  • Publication number: 20120248509
    Abstract: Processes for metal fill in replacement metal gate integration schemes and resultant devices are provided herein. The method includes forming a dummy gate on a semiconductor substrate. The dummy gate includes forming a metal layer between a first material and a second material. The method further includes partially removing the dummy gate to form an opening bounded by a spacer material. The method further includes forming a recess in the spacer material to widen a portion of the opening. The method further includes removing a remaining portion of the dummy gate through the opening to form a trench having the recess forming an upper portion thereof. The method further includes filling the trench and the recess with a replacement metal gate stack.
    Type: Application
    Filed: March 30, 2011
    Publication date: October 4, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dechao GUO, Shu-Jen HAN, Keith Kwong Hon WONG, Jun YUAN
  • Patent number: 8278721
    Abstract: The invention provides a method for forming a contact plug, comprising: forming a gate, a sidewall spacer, a sacrificial sidewall spacer, a source region and a drain region on a substrate, wherein the sidewall spacer is formed around the gate, the sacrificial sidewall spacer is formed over the sidewall spacer, and the source region and the drain region are formed within the substrate and on respective sides of the gate; forming an interlayer dielectric layer, with the gate, the sidewall spacer and the sacrificial sidewall spacer being exposed; removing the sacrificial sidewall spacer to form a contact space, the sacrificial sidewall spacer material being different from that of the gate, the sidewall spacer and the interlayer dielectric layer; forming a conducting layer to fill the contact space; and cutting off the conducting layer, to form at least two conductors connected to the source region and the drain region respectively.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 2, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huicai Zhong, Qingqing Liang
  • Patent number: 8273642
    Abstract: A SiC region and a source/drain region are formed such that the SiC region includes a first portion overlapping the source/drain region and a second portion protruding from the source/drain region to a position beneath the LDD region. The concentration of crystalline SiC in the second portion is higher than the concentration of crystalline SiC in the first portion. The SiC region may be formed through a normal implantation before the second spacer is formed, or the SiC region may be formed through a tilt implantation or deposition epitaxially in a recess having a sigma-shape like sidewall after the second spacer is formed.
    Type: Grant
    Filed: October 4, 2010
    Date of Patent: September 25, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chen-Hua Tsai, Po-Jui Liao, Tzu-Feng Kuo, Ching-I Li, Cheng-Tzung Tsai
  • Patent number: 8273648
    Abstract: Back-end-of-line (BEOL) circuit structures and methods are provided for blocking externally-originating or internally-originating electromagnetic edge interference. One such BEOL circuit structure includes a semiconductor substrate supporting one or more integrated circuits, and multiple BEOL layers disposed over the semiconductor substrate. The multiple BEOL layers extend to an edge of the circuit structure and include at least one vertically-extending conductive pattern disposed adjacent to the edge of the circuit structure. The vertically-extending conductive pattern is defined, at least partially, by a plurality of elements disposed in the multiple BEOL layers. The plurality of elements are uniformly arrayed at the edge of the circuit structure in a first direction or a second direction throughout at least a portion thereof.
    Type: Grant
    Filed: February 9, 2012
    Date of Patent: September 25, 2012
    Assignee: International Business Machines Corporation
    Inventors: Choongyeun Cho, Daeik Kim, Jonghae Kim, Moon Ju Kim, James R. Moulic