Pretreatment Of Surface To Enhance Or Retard Deposition Patents (Class 438/677)
  • Patent number: 7867886
    Abstract: A method, in a complementary metal oxide semiconductor fabrication process, of creating a layered housing containing a micro-electromechanical system device, the method comprising the steps of providing a cavity in at least one layer of the housing, the cavity being accessible through via holes in a layer of insulating material deposited thereon, and the layer of insulating material being covered by a thin film layer of conductive material. The method further comprises the step of hydrophobically treating at least a portion of the inner surface of the cavity. Finally the method comprises the steps of submerging the wafer in an electroplating solution and electroplating a conductive layer onto the thin film layer of conductive material such that the cavity remains free of electroplating solution.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: January 11, 2011
    Assignee: Cavendish Kinetics, Ltd
    Inventors: Charles Gordon Smith, Robertus P. Van Kampen
  • Patent number: 7867797
    Abstract: In a method of fabricating organic light emitting diode display, a planarization layer is annealed, cured, provided with an ashing treatment, and surface-treated to reduce roughness of the planarization layer. Therefore, it is possible to improve reduce problems such as a decrease in reflectivity and variation of color coordinates of the organic light emitting diode display due to the roughness of the planarization layer.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: January 11, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Soo-Beom Jo, Jong-Mo Yeo, Jong-Hoon Son, In-Young Jung, Kyung-Jin Yoo, Dae-Hyun No, Do-Hyun Kwon, Choong-Youl Im
  • Patent number: 7863190
    Abstract: Methods for forming thin dielectric films by selectively depositing a conformal film of dielectric material on a high aspect ratio structure have uses in semiconductor processing and other applications. A method for forming a dielectric film involves providing in a deposition reaction chamber a substrate having a gap on the surface. The gap has a top opening and a surface area comprising a bottom and sidewalls running from the top to the bottom. A conformal silicon oxide-based dielectric film is selectively deposited in the gap by first preferentially applying a film formation catalyst or a catalyst precursor on a portion representing less than all of the gap surface area. The substrate surface is then exposed to a silicon-containing precursor gas such that a silicon oxide-based dielectric film layer is preferentially formed on the portion of the gap surface area.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: January 4, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: George D. Papasouliotis, Mihai Buretea, Collin Mui
  • Patent number: 7842613
    Abstract: Methods of forming a substrate for microelectronic packaging may include electroplating a metal seed layer onto a sidewall of a trench extending through the substrate. The sidewall may be patterned to have at least one slot therein that extends through the substrate. This slot is formed to be sufficiently narrow to block plating of the metal seed layer onto sidewalls of the slot. Thereafter, the at least a pair of electrodes are selectively electroplated onto side-by-side portions of the metal seed layer on the sidewall of the trench. During this electroplating step, the slot is used to provide a self-aligned separation between the pair of electrodes.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: November 30, 2010
    Assignee: Integrated Device Technology, Inc.
    Inventor: Kuolung Lei
  • Patent number: 7842554
    Abstract: The invention relates to a semiconductive device comprising a die with at least one defined hot-spot area lying in a plane on the die and a cooling structure comprising nanotubes such as carbon nanotubes extending in a plane different than the plane of the hot-spot area and outwardly from the plane of the hot-spot area. The nanotubes are operatively associated with the hot-spot area to decrease any temperature gradient between the hot-spot area and at least one other area on the die defined by a temperature lower than the hot-spot area. A matrix material comprising a second heat conducting material substantially surrounds the nanotubes and is operatively associated with and in heat conducting relation with the other area on the die defined by a temperature lower than the hot-spot area.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Christos Dimitrios Dimitrakopoulos, Christos John Georgiou
  • Patent number: 7842612
    Abstract: An area made from a compound of a metallic material and semi-conducting material is produced selectively in a substrate made from semi-conducting material by previously forming a germanium oxide layer with a thickness comprised between 3 nm and 5 nm over a predefined part of a surface of the substrate and a silicon oxide layer on the rest of the surface. A metallic layer is deposited on the oxide layers. The metallic material is chosen such that its oxide is thermodynamically more stable than germanium oxide and thermodynamically less stable than silicon oxide. Thermal annealing is then performed to obtain reduction of the germanium oxide by said metallic material followed by formation of the compound, at the level of said part of the surface of the substrate. The metallic layer is then removed.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: November 30, 2010
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Fabrice Nemouchi
  • Publication number: 20100289109
    Abstract: Fabrication of a Schottky diodes may include providing a Schottky contact layer containing a low barrier metal layer with spaced apart high barrier metal islands therein on a first surface of a substrate. A diode contact is formed on a second surface of the substrate that is opposite to the first surface. Formation of the Schottky contact layer may include providing a liquid mixture of a high barrier metal and a low barrier metal on the first surface of the substrate. Temperature and/or relative concentrations of the high and low barrier metals in the liquid mixture may be controlled to cause regions of the high barrier metal to solidify within the liquid mixture and agglomerate to form the spaced apart high barrier metal islands while inhibiting solidification of the low barrier metal. The temperature and relative concentrations may then be controlled to cause the low barrier metal to solidify and form the low barrier metal layer containing the high barrier metal islands.
    Type: Application
    Filed: May 13, 2009
    Publication date: November 18, 2010
    Inventors: Jason Patrick Henning, Allan Ward
  • Patent number: 7834404
    Abstract: A method of manufacturing a semiconductor device according to the present invention includes the steps of introducing first impurities of a first conductivity type into a main surface of a semiconductor substrate 1 to form a first impurity region, introducing second impurities of a second conductivity type to form a second impurity region, forming a first nickel silicide film on the first impurity region and forming a second nickel silicide film on the second impurity region, removing an oxide film formed on each of the first and second nickel silicide films by using a mixed gas having an NH3 gas and a gas containing a hydrogen element mixed therein, and forming a first conducting film on the first nickel silicide film and forming a second conducting film on the second nickel silicide film, with the oxide film removed.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: November 16, 2010
    Assignee: Renesas Electronics Corporation
    Inventors: Kazuhito Ichinose, Akie Yutani
  • Patent number: 7829454
    Abstract: A method for integrating selective Ru metal deposition into manufacturing of semiconductor devices to improve electromigration and stress migration in bulk Cu. The method includes selectively depositing a Ru metal film on a metallization layer or on bulk Cu using a process gas containing Ru3(CO)12 precursor vapor and a CO gas in a thermal chemical vapor deposition process. A semiconductor device containing one or more selectively deposited Ru metal films is described.
    Type: Grant
    Filed: September 11, 2007
    Date of Patent: November 9, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kenji Suzuki
  • Patent number: 7816263
    Abstract: Disclosed is a method for manufacturing a thin film transistor having high resolution and high pattern accuracy with high production efficiency. Particularly disclosed is a method for manufacturing a thin film transistor wherein there is prevented deterioration of semiconductor properties in a plating step for electrode formation. This method is characterized in that a source electrode or a drain electrode is formed by such a process wherein a protective film is formed on an organic semiconductor layer, then a plating catalyst pattern is formed thereon by supplying a liquid containing a plating catalyst, and then a plating agent is brought into contact with the pattern.
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: October 19, 2010
    Assignee: Konica Minolta Holdings, Inc.
    Inventor: Katsura Hirai
  • Patent number: 7807571
    Abstract: An example embodiment provides a method of forming a conductive pattern in a semiconductor device. The method includes forming one or more dielectric layers over a first conductive pattern formed on a substrate; forming an opening in the one or more dielectric layers to expose a portion of the first conductive pattern, forming a growth promoting layer over the exposed portion of the first conductive pattern and the one or more dielectric layers, forming a growth inhibiting layer over a portion of the growth promoting layer, and forming the second conductive layer in the opening.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyung-In Choi, Gil-Heyun Choi, Sang-Woo Lee, Jong-Myeong Lee, Jong-Won Hong, Hyun-Bae Lee
  • Patent number: 7807536
    Abstract: A trench gate field effect transistor is formed as follows. A trench is formed in a semiconductor region, followed by a dielectric layer lining sidewalls and bottom of the trench and extending over mesa regions adjacent the trench. A conductive seed layer is formed in a bottom portion of the trench over the dielectric layer. A low resistance material is grown over the conductive seed layer, wherein the low resistance material is selective to the conductive seed layer.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: October 5, 2010
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Sreevatsa Sreekantham, Ihsiu Ho, Fred Session, Kent Naylor
  • Publication number: 20100184289
    Abstract: A photosensitive transparent resin film, provided selectively with a groove reaching a transparent substrate is formed on the transparent substrate, and a wiring portion is provided in the groove substantially in flush with the photosensitive transparent resin film. The wiring portion can be formed quickly while controlling the thickness easily by preprocessing the surface of the photosensitive transparent resin film or the bottom face of the groove before the wiring portion is set in the groove.
    Type: Application
    Filed: March 29, 2010
    Publication date: July 22, 2010
    Inventors: Tadahiro OHMI, Akihiro Morimoto, Teruhiko Suzuki, Takeyoshi Kato
  • Patent number: 7741219
    Abstract: In one embodiment, a method, comprises forming a diffusion layer on a semiconductor substrate, forming a selectively deposited metal or metal alloy on an aluminum gate structure by removing an aluminum oxide layer from the aluminum gate structure and depositing a zinc layer on the aluminum gate structure by a zincating process, and selectively depositing a sacrificial metal or metal alloy cap on the aluminum gate layer by displacing the zinc layer. This embodiment enables the SAC process flow on devices with Aluminum gates.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: June 22, 2010
    Assignee: Intel Corporation
    Inventors: Vinay B. Chikarmane, Yang Cao
  • Patent number: 7732329
    Abstract: In some embodiments, a workpiece-surface-influencing device preferentially contacts the top surface of the workpiece, to chemically modify the surface at desired field areas of the workpiece without affecting the surfaces of cavities or recesses in the field areas. The device includes a substance which is chemically reactive with material forming the workpiece surface. The substance can be in the form of a thin film or coating which contacts the surface of the workpiece to chemically modify that surface. The workpiece-surface-influencing device can be in the form of a solid state applicator such as a roller or a semi-permeable membrane. In some other embodiments, the cavities are filled with material that prevents surface modification of the cavity surfaces while allowing modification of the field areas, or which encourages surface modification of the cavity surfaces while preventing modification of the field areas. The modified surface facilitates selective deposition of materials on the workpiece.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: June 8, 2010
    Assignee: IPGRIP, LLC
    Inventor: Vladislav Vasilev
  • Patent number: 7732330
    Abstract: The manufacturing method of the present invention includes steps of selectively forming a photocatalyst material or a material including an amino group by discharging a composition including the photocatalyst material or the material including an amino group; immersing the photocatalyst material or the material including an amino group in a solution including a plating catalyst material so as to adsorb or deposit the plating catalyst material onto the photocatalyst material or the material including an amino group; and immersing the plating catalyst material in a plating solution including a metal material so as to form a metal film on a surface of the photocatalyst material or the material including an amino group adsorbing or depositing the plating catalyst material, thereby manufacturing a semiconductor device. The pH of the solution including the plating catalyst material is adjusted in a range of 3 to 6.
    Type: Grant
    Filed: June 26, 2006
    Date of Patent: June 8, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Gen Fujii
  • Publication number: 20100136788
    Abstract: Methods for cleaning semiconductor wafers following chemical mechanical polishing are provided. An exemplary method exposes a wafer to a thermal treatment in an oxidizing environment followed by a thermal treatment in a reducing environment. The thermal treatment in the oxidizing environment both removes residues and oxidizes exposed copper surfaces to form a cupric oxide layer. The thermal treatment in the reducing environment then reduces the cupric oxide to elemental copper. This leaves the exposed copper clean and in condition for further processing, such as electroless plating.
    Type: Application
    Filed: February 3, 2010
    Publication date: June 3, 2010
    Applicant: Lam Research Corporation
    Inventors: Zhonghui Alex Wang, Tiruchirapalli Arunagiri, Fritz C. Redeker, Yezdi Dordi, John Boyd, Mikhail Korolik, Arthur M. Howald, William Thie, Praveen Nalla
  • Publication number: 20100123248
    Abstract: A semiconductor device includes: an electrode pad; a wiring line electrically coupled to the electrode pad, the wiring line being formed by disposing and drying a droplet of a conductive ink in which metal fine particles are dispersed in a dispersion medium; an intermediate layer of an bonded layer of the metal fine particles on a surface of the electrode pad; and a liquid repellent layer that includes a liquid repellent material repelling the dispersion medium and is layered on the intermediate layer to cover the intermediate layer. In the device, the wiring line is physically coupled to the electrode pad with the liquid repellent layer and the intermediate layer interposed between the wiring line and the electrode pad.
    Type: Application
    Filed: November 10, 2009
    Publication date: May 20, 2010
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Masaru YAJIMA
  • Patent number: 7709374
    Abstract: The invention provides a method for fabricating a memory device. At first, a substrate having a plurality of gate electrode stacks and a source/drain region is provided, and a barrier layer and a sacrificial layer are sequentially formed on the substrate and cover the gate electrode stacks. A portion of the sacrificial layer is removed to form a sacrificial plug between the gate electrode stacks, and then a filling layer is formed over the substrate. Next, the sacrificial plug is removed, and a contact hole is formed. A clean step with a solution containing ammonia is carried out. The barrier layer at the bottom of the contact hole is removed, and a metal plug is then formed in the contact hole to electrically contact with the source/drain region.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: May 4, 2010
    Assignee: Inotera Memories, Inc.
    Inventors: Wen-Hsiang Chen, Hsin-Yu Hsiao
  • Patent number: 7709385
    Abstract: In one embodiment, a method for forming a tungsten-containing material on a substrate is provided which includes forming a tungsten-containing layer by sequentially exposing a substrate to a processing gas and a tungsten-containing gas during an atomic layer deposition process, wherein the processing gas comprises a boron-containing gas and a nitrogen-containing gas, and forming a tungsten bulk layer over the tungsten-containing layer by exposing the substrate to a deposition gas comprising the tungsten-containing gas and a reactive precursor gas during a chemical vapor deposition process. In one example, the tungsten-containing layer and the tungsten bulk layer are deposited within the same processing chamber.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: May 4, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Ashok Sinha, Moris Kori, Alfred W. Mak, Xinliang Lu, Ken Kaung Lai, Karl A. Littau
  • Patent number: 7705431
    Abstract: A method of improving adhesion between layers in the formation of a semiconductor device and integrated circuit, and the resultant intermediate semiconductor structure, which include a substrate layer with a low k insulating layer thereover. The low k insulating layer includes a treated surface area of adsorbed gaseous particles. This treated surface area is formed by flowing a gas, preferably, silane, disilane, dichlorosilane, germane or combinations thereof, over a surface of the heated low k insulating layer for adsorption of such gaseous particles onto the heated surface, wherein the insulating layer maintains its original thickness. A capping layer is then deposited directly over the insulating layer wherein the treated surface area of the insulating layer significantly improves adhesion between the insulating layers and the capping layers to prevent delamination therebetween during subsequent processing steps of forming the integrated circuit.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: April 27, 2010
    Assignee: Novellius Systems, Inc.
    Inventors: Mahesh Sanganeria, Bart van Schravendijk
  • Patent number: 7696090
    Abstract: A rectifying diode comprising a semiconducting layer, a first electrode, and a second electrode, wherein the width of the region of closest contact between the two electrodes is on the order of the thickness of the semiconducting layer.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: April 13, 2010
    Assignee: Plastic Logic Limited
    Inventors: Paul A. Cain, Henning Sirringhaus, Anoop Menon, Catherine Ramsdale, Tim Von Werne
  • Patent number: 7696091
    Abstract: A method of manufacturing a silicon layer includes pretreating a surface of a silicon nitride layer formed on a substrate through a plasma enhanced chemical vapor deposition method using a first reaction gas including at least one of silicone tetrafluoride (SiF4) gas, a nitrogen trifluoride (NF3) gas, SiF4—H2 gas and a mixture thereof. Then, a silicon layer is formed on the pretreated silicon nitride layer through the plasma enhanced chemical vapor deposition method using a second reaction gas including a mixture of gas including silicon tetrafluoride (SiF4), hydrogen (H2) and argon (Ar).
    Type: Grant
    Filed: February 16, 2007
    Date of Patent: April 13, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kunal Girotra, Byoung-June Kim, Sung-Hoon Yang
  • Patent number: 7670944
    Abstract: Method and structures are provided for conformal lining of dual damascene structures in integrated circuits. Trenches and contact vias are formed in insulating layers. The trenches and vias are exposed to alternating chemistries to form monolayers of a desired lining material. Exemplary process flows include alternately pulsed metal halide and ammonia gases injected into a constant carrier flow. Self-terminated metal layers are thus reacted with nitrogen. Near perfect step coverage allows minimal thickness for a diffusion barrier function, thereby maximizing the volume of a subsequent filling metal for any given trench and via dimensions.
    Type: Grant
    Filed: August 28, 2006
    Date of Patent: March 2, 2010
    Assignee: ASM International N.V.
    Inventors: Ivo Raaijmakers, Suvi P. Haukka, Ville A. Saanila, Pekka J. Soininen, Kai-Erik Elers, Ernst H.A. Granneman
  • Patent number: 7670882
    Abstract: A system performs a method including contact printing one of a wetting agent and a non-wetting agent on a semiconductor and inkjet printing an electrically conductive material proximate said one of the wetting agent and the non-wetting agent.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: March 2, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Gregory S. Herman, Darin Peterson, Martin Joseph Manning
  • Patent number: 7655567
    Abstract: The methods described herein relate to deposition of low resistivity, highly conformal tungsten nucleation layers. These layers serve as a seed layers for the deposition of a tungsten bulk layer. The methods are particularly useful for tungsten plug fill in which tungsten is deposited in high aspect ratio features. The methods involve depositing a nucleation layer by a combined PNL and CVD process. The substrate is first exposed to one or more cycles of sequential pulses of a reducing agent and a tungsten precursor in a PNL process. The nucleation layer is then completed by simultaneous exposure of the substrate to a reducing agent and tungsten precursor in a chemical vapor deposition process. In certain embodiments, the process is performed without the use of a borane as a reducing agent.
    Type: Grant
    Filed: July 24, 2007
    Date of Patent: February 2, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Juwen Gao, Lana Hiului Chan, Panya Wongsenakhum
  • Patent number: 7635646
    Abstract: A method for fabricating a semiconductor device, includes forming a first dielectric film above a substrate, forming an opening in the first dielectric film, forming a catalytic characteristic film using at least one of a metal having catalytic characteristics and a conductive oxide having catalytic characteristics as its material on sidewalls and at a bottom of the opening, depositing a conductive material film using a conductive material in the opening in which the catalytic characteristic film is formed on the sidewalls and at the bottom, removing the catalytic characteristic film formed on the sidewalls of the opening, and forming a second dielectric film above the first dielectric film and the conductive material film after the removing.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: December 22, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Seiichi Omoto, Hisashi Kaneko, Masahiko Hasunuma
  • Patent number: 7629252
    Abstract: Methods of fabricating interconnect structures utilizing barrier material layers formed with an electroless deposition technique utilizing a coupling agent complexed with a catalytic metal and structures formed thereby. The fabrication fundamentally comprises providing a dielectric material layer having an opening extending into the dielectric material from a first surface thereof, bonding the coupling agent to the dielectric material within the opening, and electrolessly depositing the barrier material layer, wherein the electrolessly deposited barrier material layer material adheres to the catalytic metal of the coupling agent.
    Type: Grant
    Filed: December 23, 2005
    Date of Patent: December 8, 2009
    Assignee: Intel Corporation
    Inventors: Kevin P. O'Brien, Chin-Chang Cheng, Ramanan V. Chebiam, Valery M. Dubin, Sridhar Balakrishnan
  • Patent number: 7625808
    Abstract: A thickness of silicon oxide film of a wafer for active layer is controlled to be thinner than that of buried silicon oxide film. Consequently, uniformity in film thickness of the active layer of a bonded wafer is improved even if a variation in the in-plane thickness of the silicon oxide film is large at a time of ion implantation. Furthermore, since the silicon oxide film is rather thinner and thereby the ion implantation depth is relatively deeper, damages to the active layer and the buried silicon oxide film caused by the ion implantation can be reduced.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: December 1, 2009
    Assignee: Sumco Corporation
    Inventors: Akihiko Endo, Hideki Nishihata
  • Patent number: 7625820
    Abstract: Methods for forming thin dielectric films by selectively depositing a conformal film of dielectric material on a high aspect ratio structure have uses in semiconductor processing and other applications. A method for forming a dielectric film involves providing in a deposition reaction chamber a substrate having a gap on the surface. The gap has a top opening and a surface area comprising a bottom and sidewalls running from the top to the bottom. A conformal silicon oxide-based dielectric film is selectively deposited in the gap by first preferentially applying a film formation catalyst or a catalyst precursor on a portion representing less than all of the gap surface area. The substrate surface is then exposed to a silicon-containing precursor gas such that a silicon oxide-based dielectric film layer is preferentially formed on the portion of the gap surface area.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: December 1, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: George D. Papasouliotis, Mihai Buretea, Collin Mui
  • Patent number: 7622380
    Abstract: A method of improving adhesion between layers in the formation of a semiconductor device and integrated circuit, and the resultant intermediate semiconductor structure, which include a substrate layer with a low k insulating layer thereover. The low k insulating layer includes a treated surface area of adsorbed gaseous particles. This treated surface area is formed by flowing a gas, preferably, silane, disilane, dichlorosilane, germane or combinations thereof, over a surface of the heated low k insulating layer for adsorption of such gaseous particles onto the heated surface, wherein the insulating layer maintains its original thickness. A capping layer is then deposited directly over the insulating layer wherein the treated surface area of the insulating layer significantly improves adhesion between the insulating layers and the capping layers to prevent delamination therebetween during subsequent processing steps of forming the integrated circuit.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: November 24, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Mahesh Sanganeria, Bart van Schravendijk
  • Patent number: 7615488
    Abstract: A method for forming a pattern according to the invention comprises the steps of: forming a mask over a substrate having light-transmitting properties; forming a first region having a substance including a light-absorbing material over the substrate and the mask; forming a second region by irradiating the substance with light having a wavelength which is absorbable by the light-absorbing material through the substrate to modify a part of the substance surface; and forming a pattern by discharging a compound including a pattern forming material to the second region.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: November 10, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinji Maekawa, Gen Fujii, Hiroko Yamamoto
  • Patent number: 7608535
    Abstract: An interlayer insulation layer is formed on a semiconductor substrate to cover a lower wiring layer that is also formed on the semiconductor substrate. A contact hole to expose a surface of the lower wiring layer is formed by etching the interlayer insulation film. A wetting layer is formed on an inner wall of the contact hole. An anti-deposition layer is formed around an entrance of the contact hole to prevent an aluminum layer from being deposited around the entrance of the contact hole. The contact hole is filled with the aluminum layer.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: October 27, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Hyun Phill Kim
  • Patent number: 7598171
    Abstract: A method of manufacturing a semiconductor device according to the present invention includes the steps of introducing first impurities of a first conductivity type into a main surface of a semiconductor substrate 1 to form a first impurity region, introducing second impurities of a second conductivity type to form a second impurity region, forming a first nickel silicide film on the first impurity region and forming a second nickel silicide film on the second impurity region, removing an oxide film formed on each of the first and second nickel silicide films by using a mixed gas having an NH3 gas and a gas containing a hydrogen element mixed therein, and forming a first conducting film on the first nickel silicide film and forming a second conducting film on the second nickel silicide film, with the oxide film removed.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: October 6, 2009
    Assignee: Renesas Technology Corp.
    Inventors: Kazuhito Ichinose, Akie Yutani
  • Patent number: 7592254
    Abstract: The present invention provides methods for conformally or superconformally coating and/or uniformly filling structures with a continuous, conformal layer or superconformal layer. Methods of the present invention improve conformal or superconformal coverage of surfaces and improve fill in recessed features compared to conventional physical deposition and chemical deposition methods, thereby minimizing formation of voids or gaps in a deposited conformal or superconformal layer. The present methods are capable of coating or filling features useful for the fabrication of a broad class of electronic, electrical and electromechanical devices.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: September 22, 2009
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: John R. Abelson, Sreenivas Jayaraman, Gregory S. Girolami, Yu Yang, Do Young Kim
  • Patent number: 7582557
    Abstract: An exemplary method includes: providing a substrate with exposed metal and dielectric surfaces, performing a reducing process on the metal and dielectric surfaces, and transferring the substrate in an inert or reducing ambient to a chamber for that is used for selective metal layer deposition.
    Type: Grant
    Filed: January 13, 2006
    Date of Patent: September 1, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chien-Hsueh Shih, Chen Hua Yu
  • Patent number: 7566657
    Abstract: In one embodiment of a method of forming at least one through-substrate interconnect, a semiconductor substrate having first surface and an opposing second surface is provided. At least one opening is formed in the semiconductor substrate to extend from the first surface to an intermediate depth within the semiconductor substrate. The at least one opening is partially defined by a base. At least one metal-catalyst nanoparticle is provided on the base. Conductive material is deposited within the at least one opening under conditions in which the metal-catalyst nanoparticle promotes deposition of the conductive material. Material of the semiconductor substrate may be removed from the second surface to expose a portion of the conductive material filling the at least one opening. In another embodiment, instead of using the nanoparticle, the conductive material may be selected to selectively deposit on the base partially defining the at least one opening.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: July 28, 2009
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Theodore I. Kamins
  • Patent number: 7560372
    Abstract: An oxide film formed on the surface of copper film of an electrode pad is cleaned by oxalic acid after unevenness is formed on the surface of copper film by treating the surface with organic acid. Thereby, stable resistance is obtained when carrying out a characteristic inspection by bringing a probe into contact with the electrode pad, and it is easily recognized by observation through a microscope that the probe is brought into contact with the electrode pad. In addition, wettability with respect to solder is satisfactory, and it is possible to favorably form a solder bump on the electrode pad.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: July 14, 2009
    Assignee: NEC Electronics Corporation
    Inventors: Hiroaki Tomimori, Hidemitsu Aoki, Kaoru Mikagi, Akira Furuya, Tetsuya Tao
  • Patent number: 7547633
    Abstract: The present invention provides methods and apparatus for performing thermal processes to a semiconductor substrate. Thermal processing chambers of the present invention comprise two different energy sources, such as an infrared radiation source and a UV radiation source. The UV radiation source and the infrared radiation source may be used alone or in combination to supply heat, activate electronic, or create active species inside the thermal processing chamber.
    Type: Grant
    Filed: May 1, 2006
    Date of Patent: June 16, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Joseph Michael Ranish, Yoshitaka Yokota
  • Publication number: 20090149014
    Abstract: At step S101, a TiW film is formed by a sputtering method so as to cover a surface protection film and pad electrodes formed on a surface of a semiconductor element. Subsequently, an Au film is formed on the TiW film. At step S103, Au bumps are formed on the Au film using the Au film as a plating electrode. At step S105, unnecessary parts of the Au film are removed. At step S106, unnecessary parts of the TiW film are removed. At step S107, iodine left in areas where the unnecessary parts of the TiW film have been removed, is removed.
    Type: Application
    Filed: April 7, 2008
    Publication date: June 11, 2009
    Inventors: Norimitsu NIE, Masahiro HORIO, Keiichi SAWAI, Yuji WATANABE, Yasuhiro KOYAMA, Katsuji KAWAKAMI
  • Patent number: 7544614
    Abstract: A slit forming process with respect to a coated film, includes: forming a step pattern having an end part on a substrate; coating a liquid material for forming a coated film on the substrate in the manner of covering at least the end part of the step pattern; and forming the coated film by drying the coated liquid material, together with forming a slit at a position corresponding to the end part of the step pattern.
    Type: Grant
    Filed: January 3, 2006
    Date of Patent: June 9, 2009
    Assignee: Seiko Epson Corporation
    Inventor: Ichio Yudasaka
  • Patent number: 7534724
    Abstract: As a semiconductor device, specifically, a pixel portion included in a semiconductor device is made to have higher precision and higher aperture ratio, it is required to form a smaller wiring in width. In the case of forming a wiring by using an ink-jet method, a dot spreads on a wiring formation surface, and it is difficult to narrow width of a wiring. In the present invention, a photocatalytic substance typified by TiO2 is formed on a wing formation surface, and a wiring is formed by utilizing photocatalytic activity of the photocatalytic substance. According to the present invention, a narrower wiring, that is, a smaller wiring in width than a diameter of a dot formed by an ink-jet method can be formed.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: May 19, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Osamu Nakamura, Kiyofumi Ogino
  • Patent number: 7528071
    Abstract: Embodiments relate to a method of manufacturing a semiconductor device, wherein voids on a copper seed layer may be removed. According to embodiments, a method of manufacturing a semiconductor device may include forming at least one type of an insulating layer on the entire surface of a semiconductor substrate, forming a contact hole and a trench, through which a portion of the semiconductor substrate is exposed, forming an anti-diffusion layer on inner walls of the contact hole and the trench, forming a copper seed layer on the anti-diffusion layer, removing a copper oxide layer exposed on a surface of the copper seed layer through a wet etching process, and forming a copper metal layer in the contact hole and the trench.
    Type: Grant
    Filed: December 19, 2006
    Date of Patent: May 5, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventors: Jong Guk Kim, Kyu Cheol Shim
  • Patent number: 7517782
    Abstract: By performing an electroless deposition and an electro deposition process in situ, highly reliable metallizations may be provided, wherein limitations with respect to contaminations and device scaling, encountered by conventional chemical vapor deposition (CVD), atomic layer deposition (ALD) and physical vapor deposition (PVD) techniques for the formation of seed layers may be overcome. In some embodiments, a barrier layer is also deposited on the basis of a wet chemical deposition process.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Axel Preusse, Susanne Wehner, Markus Nopper
  • Patent number: 7494926
    Abstract: Disclosed herein is a method for forming a highly conductive metal pattern which comprises forming a metal pattern on a substrate by the use of a photocatalyst and a selective electroless or electroplating process, and transferring the metal pattern to a flexible plastic substrate. According to the method, a highly conductive metal pattern can be effectively formed on a flexible plastic substrate within a short time, compared to conventional formation methods. Further disclosed is an EMI filter comprising a metal pattern formed by the method. The EMI filter not only exhibits high performances, but also is advantageous in terms of low manufacturing costs and simple manufacturing process. Accordingly, the EMI filter can be applied to a variety of flat panel display devices, including PDPs and organic ELs.
    Type: Grant
    Filed: December 20, 2004
    Date of Patent: February 24, 2009
    Assignee: Samsung Corning Co., Ltd.
    Inventors: Jin Young Kim, Sung Hen Cho, Ki Yong Song, Chang Ho Noh, Euk Che Hwang
  • Patent number: 7476604
    Abstract: A method of forming a contact through a material includes forming a via through a dielectric material and cleaning the via using a dilute hydrofluoric (DHF) acid solution. The method further includes depositing a barrier layer within the via and depositing metal adjacent the barrier layer.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: January 13, 2009
    Assignees: Advanced Micro Devices, Inc., Spansion LLC
    Inventors: Ning Cheng, Minh Van Ngo, Jinsong Yin, Paul Raymond Besser, Connie Pin-chin Wang, Russell Rosaire Austin Callahan, Jeffrey Shields, Shankar Sinha, Jeff P. Erhardt, Jeremy Chi-Hung Chou
  • Patent number: 7476619
    Abstract: An object of the invention is to make it possible to perform the embedding of a Cu diffusion preventing film and a Cu film to a fine pattern of a high aspect ratio by using a medium of a supercritical state in a manufacturing process of a semiconductor device. The object of the invention is achieved by a substrate processing method comprising a first step of processing a substrate by supplying a first processing medium containing a first medium of a supercritical state onto the substrate, a second step of forming a Cu diffusion preventing film on the substrate by supplying a second processing medium containing a second medium of a supercritical state onto the substrate, and a third step of forming a Cu film on the substrate by supplying a third processing medium containing a third medium of a supercritical state onto the substrate.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: January 13, 2009
    Assignees: Tokyo Electron Limited
    Inventors: Eiichi Kondoh, Vincent Vezin, Kenichi Kubo, Yoshinori Kureishi, Tomohiro Ohta
  • Publication number: 20090004857
    Abstract: In one embodiment, a method, comprises forming a diffusion layer on a semiconductor substrate, forming a selectively deposited metal or metal alloy on an aluminum gate structure by removing an aluminum oxide layer from the aluminum gate structure and depositing a zinc layer on the aluminum gate structure by a zincating process, and selectively depositing a sacrificial metal or metal alloy cap on the aluminum gate layer by displacing the zinc layer. This embodiment enables the SAC process flow on devices with Aluminum gates.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Inventors: Vinay B. Chikarmane, Yang Cao
  • Patent number: RE40983
    Abstract: A method for plating a second metal directly to a first metal without utilizing a mask. A semiconductor substrate is provided including at least one metal feature and at least one insulating layer covering the metal feature and the substrate. At least one recess is formed in the at least one insulating layer thereby exposing at least a portion of the metal feature. At least one conductive barrier layer is formed over the insulating layer and the exposed portion of the metal feature. A plating seed layer of a first metal is formed over the at least one barrier layer. A photoresist layer is deposited over the plating seed layer. Portions of the photoresist layer and portions of the plating seed layer outside of the at least one recess are removed. Photoresist remaining in the at least one recess is removed. A second metal is electroplated to the plating seed layer in the recess, using the barrier layer to conduct electrical current.
    Type: Grant
    Filed: October 2, 2003
    Date of Patent: November 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Cyprian E. Uzoh, Daniel C. Edelstein
  • Patent number: RE41538
    Abstract: A method for making an integrated circuit device includes forming at least one interconnect structure adjacent a substrate by forming at least one barrier layer, forming a doped copper seed layer on the at least one barrier layer, and forming a copper layer on the doped copper seed layer. The method may further include annealing the integrated circuit device after forming the copper layer to diffuse the dopant from the doped copper seed layer into grain boundaries of the copper layer. The doped copper seed layer may include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant to provide the enhanced electromigration resistance. Forming the copper layer may comprise plating the copper layer. In addition, forming the copper layer may comprise forming the copper layer to include at least one of calcium, cadmium, zinc, neodymium, tellurium, and ytterbium as a dopant.
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: August 17, 2010
    Inventor: James A. Cunningham