Refractory Group Metal (i.e., Titanium (ti), Zirconium (zr), Hafnium (hf), Vanadium (v), Niobium (nb), Tantalum (ta), Chromium (cr), Molybdenum (mo), Tungsten (w), Or Alloy Thereof) Patents (Class 438/685)
  • Patent number: 8377824
    Abstract: Apparatus and methods for depositing copper on tungsten are presented. The invention finds particular use in the semiconductor industry for depositing copper seed layers onto fields or through silicon vias having tungsten barrier layers, both reducing cost and complexity of existing methods.
    Type: Grant
    Filed: April 2, 2012
    Date of Patent: February 19, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan Reid, Sesha Varadarajan, Ugur Emekli
  • Publication number: 20130040460
    Abstract: A method of depositing a thin film by atomic layer deposition (ALD) on a substrate surface is disclosed. The disclosed method includes placing an ALD deposition proximity head above the substrate with at least one gas channel configured to dispense a gas to an active process region of the substrate surface. The ALD deposition proximity head extends over and is being spaced apart from the active process region of the substrate surface when present. After a pulse of a first reactant gas is dispensed on the active process region of the substrate surface underneath the proximity head, a pulse of a second reactant gas is dispensed on the active process region of the substrate surface underneath the proximity head to react with the first reactant gas to form a portion of the thin layer of ALD film on the surface of substrate underneath the proximity head.
    Type: Application
    Filed: September 6, 2012
    Publication date: February 14, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Hyungsuk Alexander Yoon, Mikhail Korolik, Fritz C. Redeker, John M. Boyd, Yezdi Dordi
  • Patent number: 8357611
    Abstract: A semiconductor device having good TFT characteristics is realized. By using a high purity target as a target, using a single gas, argon (Ar), as a sputtering gas, setting the substrate temperature equal to or less than 300° C., and setting the sputtering gas pressure from 1.0 Pa to 3.0 Pa, the film stress of a film is made from ?1×1010 dyn/cm2 to 1×1010 dyn/cm2. By thus using a conducting film in which the amount of sodium contained within the film is equal to or less than 0.3 ppm, preferably equal to or less than 0.1 ppm, and having a low electrical resistivity (equal to or less than 40 ??·cm), as a gate wiring material and a material for other wirings of a TFT, the operating performance and the reliability of a semiconductor device provided with the TFT can be increased.
    Type: Grant
    Filed: March 8, 2011
    Date of Patent: January 22, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Keiji Sato, Shunpei Yamazaki
  • Publication number: 20120329274
    Abstract: The present invention proposes the use of a silicon nitride layer on top of a second conductive layer. After a step of etching a second conductive layer, an oxide spacer is formed to define a gap. Then, another silicon nitride layer fills up the gap. After that, the oxide spacer is removed. Later, a first conductive layer is etched to separate the digit line to cell contact line.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 27, 2012
    Inventors: Shyam Surthi, Lars Heineck
  • Patent number: 8337675
    Abstract: A method induces plasma vapor deposition of metal into a recess in a workpiece. The method achieves re-sputtering of the metal at the base of the recess with a sputter gas by utilizing a mixture of Ar and He and/or Ne as the sputter gas with a ratio of He and/or Ne:Ar of at least about 10:1.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: December 25, 2012
    Assignee: SPTS Technologies Limited
    Inventors: Mark Ian Carruthers, Stephen Burgess, Anthony Wilby, Amit Rastogi, Paul Rich, Nicholas Rimmer
  • Publication number: 20120322262
    Abstract: Provided are methods of depositing N-Metals onto a substrate. Methods include first depositing an initiation layer. The initiation layer may comprise or consist of cobalt, tantalum, nickel, titanium or TaAlC. These initiation layers can be used to deposit TaCx.
    Type: Application
    Filed: June 18, 2012
    Publication date: December 20, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Seshadri Ganguli, Xinliang Lu, Atif Noori, Maitreyee Mahajani, Shih Chung Chen, Mei Chang
  • Patent number: 8334208
    Abstract: A film forming method includes arranging a target substrate to be processed in a chamber; supplying a processing gas including a chlorine containing gas through a supply path to the chamber in which the target substrate is arranged; and arranging a Ti containing unit in the supply path of the processing gas and making a reaction between the chlorine containing gas of the processing gas and Ti of the Ti containing unit by bringing the chlorine containing gas into contact with the Ti containing unit, when the processing gas is supplied to the chamber. The method further includes depositing Ti on a surface of the target substrate by a thermal reaction by supplying to the target substrate a Ti precursor gas produced by the reaction between the chlorine containing gas and Ti of the Ti containing unit while heating the target substrate provided in the chamber.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: December 18, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Kensaku Narushima
  • Patent number: 8330234
    Abstract: In a semiconductor device, a gate electrode having a uniform composition prevents deviation in a work function. Controlling a Vth provides excellent operation properties. The semiconductor device includes an NMOS transistor and a PMOS transistor with a common line electrode. The line electrode includes electrode sections (A) and (B) and a diffusion barrier region formed over an isolation region so that (A) and (B) are kept out of contact. The diffusion barrier region meets at least one of: (1) The diffusion coefficient in the above diffusion barrier region of the constituent element of the above electrode section (A) is lower than the interdiffusion coefficient of the constituent element between electrode section (A) materials; and (2) The diffusion coefficient in the above diffusion barrier region of the constituent element of the above electrode section (B) is lower than the interdiffusion coefficient of the constituent element between electrode section (B) materials.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: December 11, 2012
    Assignee: NEC Corporation
    Inventor: Takashi Hase
  • Patent number: 8324095
    Abstract: A method and apparatus for depositing a tantalum nitride barrier layer is provided for use in an integrated processing tool. The tantalum nitride is deposited by atomic layer deposition. The tantalum nitride is removed from the bottom of features in dielectric layers to reveal the conductive material under the deposited tantalum nitride. Optionally, a tantalum layer may be deposited by physical vapor deposition after the tantalum nitride deposition. Optionally, the tantalum nitride deposition and the tantalum deposition may occur in the same processing chamber.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: December 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Hua Chung, Nirmalya Maity, Jick Yu, Roderick Craig Mosely, Mei Chang
  • Publication number: 20120302062
    Abstract: A method of via formation in a semiconductor device includes the following steps of providing a photoresist with a photoresist pattern defining an opening of a via, wherein the photoresist comprising a thermally cross-linking material is disposed on a structure layer; dry-etching the structure layer to a first depth through the opening; baking the thermally cross-linking material to reduce the opening; and dry-etching the structure layer to a second depth through the reduced opening, wherein the second depth is greater than the first depth.
    Type: Application
    Filed: May 26, 2011
    Publication date: November 29, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Chih Ching Lin, Yi Nan Chen, Hsien Wen Liu
  • Patent number: 8314021
    Abstract: A method for fabricating a semiconductor device includes: forming a thin film over trenches by using a first source gas and a first reaction gas; performing a first post-treatment on the thin film by using a second reaction gas; and performing a second post-treatment on the thin film by using a second source gas.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: November 20, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Jik-Ho Cho, Seung-Jin Yeom, Seung-Hee Hong, Nam-Yeal Lee
  • Patent number: 8304021
    Abstract: A vapor phase deposition apparatus 100 for forming a thin film comprising a chamber 1060, a piping unit 120 for supplying a source material of the thin film into the chamber 1060 in a gaseous condition, a vaporizer 202 for vaporizing the source material in a source material container 112 and supplying the vaporized gas in the piping unit 120 and a temperature control unit 180, is presented.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 6, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Tomoe Yamamoto, Tomohisa Iino
  • Publication number: 20120270389
    Abstract: A method for manufacturing a metal nitride layer including the following steps is provided. Firstly, a substrate is provided. Then, a physical vapor deposition process is performed at a temperature between 210° C. and 390° C. to form a metal nitride layer on the substrate. Also, the physical vapor deposition process can be performed on a pressure between 21 mTorr and 91 mTorr. The method can be used in the manufacturing process of an interconnection structure for decreasing the film stress of the metal nitride layer. Therefore, the interconnection structure can be prevented from line distortion and film collapse.
    Type: Application
    Filed: April 20, 2011
    Publication date: October 25, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chun-Ling LIN, Chin-Fu Lin, Chi-Mao Hsu
  • Patent number: 8278218
    Abstract: An electrical conductor having a multilayer diffusion barrier of use in a resultant semiconductor device is presented. The electrical conductor line includes an insulation layer, a diffusion barrier, and a metal line. The insulation layer is formed on a semiconductor substrate and having a metal line forming region. The diffusion barrier is formed on a surface of the metal line forming region of the insulation layer and has a multi-layered structure made of TaN layer, an MoxOy layer and an Mo layer. The metal line is formed on the diffusion barrier to fill the metal line forming region of the insulation layer.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: October 2, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventors: Joon Seok Oh, Seung Jin Yeom, Baek Mann Kim, Dong Ha Jung, Jeong Tae Kim, Nam Yeal Lee, Jae Hong Kim
  • Patent number: 8273658
    Abstract: An integrated circuit arrangement containing a via is disclosed. The via has an upper section having greatly inclined sidewalls. A lower section of the via has approximately vertical sidewalls. In one embodiment, a liner layer is used as a hard mask in the production of the via and defines the position of the sections of the via.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: September 25, 2012
    Assignee: Infineon Technologies AG
    Inventors: Klaus Goller, Jakob Kriz
  • Patent number: 8237172
    Abstract: A semiconductor device according to the present invention includes: a silicon carbide substrate (11) that has a principal surface and a back surface; a semiconductor layer (12), which has been formed on the principal surface of the silicon carbide substrate; and a back surface ohmic electrode layer (1d), which has been formed on the back surface of the silicon carbide substrate. The back surface ohmic electrode layer (1d) includes: a reaction layer (1da), which is located closer to the back surface of the silicon carbide substrate and which includes titanium, silicon and carbon; and a titanium nitride layer (1db), which is located more distant from the back surface of the silicon carbide substrate.
    Type: Grant
    Filed: October 24, 2008
    Date of Patent: August 7, 2012
    Assignee: Panasonic Corporation
    Inventors: Masao Uchida, Kazuya Utsunomiya, Masashi Hayashi
  • Patent number: 8227340
    Abstract: A method for producing an electrically conductive connection between a first surface of a semiconductor substrate and a second surface of the semiconductor substrate includes producing a hole, forming an electrically conductive layer that includes tungsten, removing the electrically conductive layer from the first surface of the semiconductor substrate, filling the hole with copper and thinning the semiconductor substrate. The hole is produced from the first surface of the semiconductor substrate into the semiconductor substrate. The electrically conductive layer is removed from the first surface of the semiconductor substrate, wherein the electrically conductive layer remains at least with reduced thickness in the hole. The semiconductor substrate is thinned starting from a surface, which is an opposite surface of the first surface of the semiconductor substrate, to obtain the second surface of the semiconductor substrate with the hole being uncovered at the second surface of the semiconductor substrate.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: July 24, 2012
    Assignee: Infineon Technologies AG
    Inventors: Uwe Seidel, Thorsten Obernhuber, Albert Birner, Georg Ehrentraut
  • Patent number: 8216935
    Abstract: A method of forming a transistor gate construction includes forming a gate stack comprising a sacrificial material received over conductive gate material. The gate stack has lateral sidewalls having insulative material received there-against. The sacrificial material is removed from being received over the conductive gate material to form a void space between the insulative material over the conductive gate material. Elemental tungsten is selectively deposited within the void space over the conductive gate material and a transistor gate construction forming there-from is formed there-from, and which has a conductive gate electrode which includes the conductive gate material and the elemental tungsten. The transistor gate might be used in NAND, DRAM, or other integrated circuitry.
    Type: Grant
    Filed: April 7, 2009
    Date of Patent: July 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Eric R. Blomiley, Allen McTeer
  • Patent number: 8216377
    Abstract: A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: July 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Cem Basceri, Donald L. Westmoreland
  • Patent number: 8207062
    Abstract: Methods of improving the adhesion of low resistivity tungsten/tungsten nitride layers are provided. Low resistivity tungsten/tungsten nitride layers with good adhesion are formed by treating a tungsten or tungsten nitride layer before depositing low resistivity tungsten. Treatments include a plasma treatment and a temperature treatment. According to various embodiments, the treatment methods involve different gaseous atmospheres and plasma conditions.
    Type: Grant
    Filed: September 9, 2009
    Date of Patent: June 26, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Juwen Gao, Wei Lei, Michal Danek, Erich Klawuhn, Sean Chang, Ron Powell
  • Publication number: 20120149195
    Abstract: According to one embodiment, a method for manufacturing an integrated circuit device, includes etching a metal member using a gas including a halogen, forming a silicon oxide film so as to cover an etching face of the etched metal member without exposing the metal member to atmospheric air, and removing the silicon oxide film.
    Type: Application
    Filed: August 25, 2011
    Publication date: June 14, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Takuji KUNIYA
  • Patent number: 8198168
    Abstract: According to the invention, a Ti film is formed on a substrate and is annealed at the temperatures of 350° C.-400° C. under oxidative environment, so that a TiO2 film having a rutile crystal structure is formed. Since the TiO2 film having a rutile crystal structure has a high dielectric constant, it is useful for a capacitive insulating film for a capacitor.
    Type: Grant
    Filed: April 1, 2010
    Date of Patent: June 12, 2012
    Assignee: Elpida Memory, Inc.
    Inventor: Masami Tanioku
  • Patent number: 8193090
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case (particularly in the latter), capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive material (e.g.
    Type: Grant
    Filed: July 28, 2011
    Date of Patent: June 5, 2012
    Assignee: Intermolecular, Inc.
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Publication number: 20120129343
    Abstract: To provide a method of manufacturing a semiconductor device that can be in contact with both of an n-type SiC region and a p-type SiC region and can suppress increase in contact resistance due to oxidation, a method of manufacturing a semiconductor device includes the steps of preparing a SiC layer, and forming an ohmic electrode on a main surface of the SiC layer. The step of forming the ohmic electrode includes the steps of forming a conductor layer which will become the ohmic electrode on the main surface of the SiC layer, and performing heat treatment such that the conductor layer becomes the ohmic electrode. After the step of performing the heat treatment, a temperature of the ohmic electrode when a surface of the ohmic electrode is exposed to an atmosphere containing oxygen is set to 100° C. or lower.
    Type: Application
    Filed: July 30, 2010
    Publication date: May 24, 2012
    Applicant: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventors: Hideto Tamaso, Keiji Wada
  • Patent number: 8183146
    Abstract: A manufacturing method for a buried circuit structure includes providing a substrate having at least a trench therein, forming a conductive layer having a top lower than an opening of the trench in the trench, performing a selective metal chemical vapor deposition (CVD) to form a metal layer having a top lower than the substrate in the trench, and forming a protecting layer filling the trench on the metal layer.
    Type: Grant
    Filed: December 29, 2010
    Date of Patent: May 22, 2012
    Assignee: Taiwan Memory Company
    Inventors: Tai-Sheng Feng, Le-Tien Jung
  • Patent number: 8178446
    Abstract: A method for forming a strained metal nitride film and a semiconductor device containing the strained metal nitride film. The method includes exposing a substrate to a gas containing a metal precursor, exposing the substrate to a gas containing a first nitrogen precursor configured to react with the metal precursor with a first reactivity characteristic, and exposing the substrate to a gas pulse containing a second nitrogen precursor configured to react with the metal precursor with a second reactivity characteristic different than the first reactivity characteristic such that a property of the metal nitride film formed on the substrate changes to provide a strained metal nitride film.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 15, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Robert D. Clark
  • Patent number: 8168540
    Abstract: Apparatus and methods for depositing copper on tungsten are presented. The invention finds particular use in the semiconductor industry for depositing copper seed layers onto fields or through silicon vias having tungsten barrier layers, both reducing cost and complexity of existing methods.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: May 1, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan Reid, Sesha Varadarajan, Ugur Emekli
  • Patent number: 8169079
    Abstract: A copper interconnection structure includes an insulating layer, an interconnection body including copper in an opening provided on the insulating layer and a barrier layer including a metal element and copper, formed between the insulating layer and the interconnection body. An atomic concentration of the metal element in the barrier layer is accumulated toward an outer surface of the barrier layer facing the insulating layer, and an atomic concentration of copper in the barrier layer is accumulated toward an inner surface of the barrier layer facing the interconnection body. The inner surface of the barrier layer comprises copper surface orientation of {111} and {200}, and an intensity of X-ray diffraction peak from the inner surface of the barrier layer is stronger for the {111} peak than for the {200} peak.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: May 1, 2012
    Assignee: Advanced Interconnect Materials, LLC
    Inventors: Junichi Koike, Akihiro Shibatomi
  • Patent number: 8168539
    Abstract: A tungsten film with a lower specific resistance and a lower fluorine concentration over its boundary with the base barrier layer, which adheres to the barrier layer with a high level of reliability, compared to tungsten films formed through methods in the related art, is formed. The tungsten film is formed through a process in which a silicon-containing gas is delivered to a wafer M placed within a processing container 14 and a process executed after the silicon-containing gas supply process, in which a first tungsten film 70 is formed by alternately executing multiple times, a tungsten-containing gas supply step for supplying a tungsten-containing gas and a hydrogen compound gas supply step for supplying a hydrogen compound gas with no silicon content with a purge step in which an inert gas is supplied into the processing container and/or an evacuation step for evacuating the processing container executed between the tungsten-containing gas supply step and the hydrogen compound gas supply step.
    Type: Grant
    Filed: June 23, 2006
    Date of Patent: May 1, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Masahito Sugiura, Yasutaka Mizoguchi, Yasushi Aiba
  • Patent number: 8163648
    Abstract: An atomic layer deposition method includes providing a semiconductor substrate within a deposition chamber. A first metal halide-comprising precursor gas is flowed to the substrate within the chamber effective to form a first monolayer on the substrate. The first monolayer comprises metal and halogen of the metal halide. While flowing the first metal halide-comprising precursor gas to the substrate, H2 is flowed to the substrate within the chamber. A second precursor gas is flowed to the first monolayer effective to react with the first monolayer and form a second monolayer on the substrate. The second monolayer comprises the metal. At least some of the flowing of the first metal halide-comprising precursor gas, at least some of the flowing of the H2, and at least some of the flowing of the second precursor gas are repeated effective to form a layer of material comprising the metal on the substrate.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: April 24, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Guy T. Blalock
  • Patent number: 8158092
    Abstract: Provided is iron silicide powder in which the content of oxygen as the gas component is 1500 ppm or less, and a method of manufacturing such iron silicide powder including the steps of reducing iron oxide with hydrogen to prepare iron powder, heating the iron powder and Si powder in a non-oxidizing atmosphere to prepare synthetic powder containing FeSi as its primary component, and adding and mixing Si powder once again thereto and heating this in a non-oxidizing atmosphere to prepare iron silicide powder containing FeSi2 as its primary component. The content of oxygen as the gas component contained in the iron silicide powder will decrease, and the iron silicide powder can be easily pulverized as a result thereof. Thus, the mixture of impurities when the pulverization is unsatisfactory will be reduced, the specific surface area of the iron silicide powder will increase, and the density can be enhanced upon sintering the iron silicide powder.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: April 17, 2012
    Assignee: JX Nippon Mining & Metals Corporation
    Inventors: Kunihiro Oda, Ryo Suzuki
  • Publication number: 20120064704
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of bodies isolated by trenches by etching a substrate, forming a buried bit line gap-filling a portion of each trench, forming an etch stop layer on an upper surface of the buried bit line; and forming a word line extended in a direction crossing the buried bit line over the etch stop layer.
    Type: Application
    Filed: April 5, 2011
    Publication date: March 15, 2012
    Inventor: Tae-Kyun KIM
  • Publication number: 20120056326
    Abstract: The use of a monolayer or partial monolayer sequencing process to form conductive titanium nitride produces a reliable structure for use in a variety of electronic devices. In an embodiment, a structure can be formed by using ammonia and carbon monoxide reactant materials with respect to a titanium-containing precursor exposed to a substrate. Such a TiN layer has a number of uses including, but not limited to, use as a diffusion barrier underneath another conductor or use as an electro-migration preventing layer on top of a conductor. Such deposited TiN material may have characteristics associated with a low resistivity, a smooth topology, high deposition rates, excellent step coverage, and electrical continuity.
    Type: Application
    Filed: November 14, 2011
    Publication date: March 8, 2012
    Inventors: Brenda D. Kraus, Eugene P. Marsh
  • Patent number: 8124531
    Abstract: Methods and apparatuses for filling high aspect ratio features with tungsten-containing materials in a substantially void-free manner are provided. In certain embodiments, the method involves depositing an initial layer of a tungsten-containing material followed by selectively removing a portion of the initial layer to form a remaining layer, which is differentially passivated along the depth of the high-aspect ration feature. In certain embodiments, the remaining layer is more passivated near the feature opening than inside the feature. The method may proceed with depositing an additional layer of the same or other material over the remaining layer. The deposition rate during this later deposition operation is slower near the feature opening than inside the features due to the differential passivation of the remaining layer. This deposition variation, in turn, may aid in preventing premature closing of the feature and facilitate filling of the feature in a substantially void free manner.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: February 28, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Anand Chandrashekar, Raashina Humayun, Michal Danek, Aaron R. Fellis, Sean Chang
  • Patent number: 8119443
    Abstract: Provided is a method in which a photodiode layer is formed on a metal interconnection layer, and a hard mask layer is formed on the photodiode layer. Then, a photoresist pattern is formed on the hard mask layer to define a contact hole region, and a first hole is formed in the hard mask layer through an etching process. Next, an ion implantation etching layer is formed in the photodiode layer using the photoresist pattern as an ion implantation mask, and a second hole is formed by etching the ion implantation etching layer. A third hole is formed to expose the metal interconnection by etching a region of the metal interconnection layer corresponding to the second hole.
    Type: Grant
    Filed: July 13, 2009
    Date of Patent: February 21, 2012
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ji Hwan Park
  • Patent number: 8119527
    Abstract: Methods of filling high aspect ratio features provided on partially manufactured semiconductor substrates with tungsten-containing materials are provided. In certain embodiments, the methods include partial filling a high aspect ratio feature with a layer of tungsten-containing materials and selective removal of the partially filled materials from the feature cavity. Substrates processed using these methods have improved step coverage of the tungsten-containing materials filled into the high aspect ratio features and reduced seam sizes.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: February 21, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Anand Chadrashekar, Raashina Humayun, Michal Danek, Aaron R. Fellis, Sean Chang
  • Publication number: 20120038052
    Abstract: A fabricating method of a semiconductor device is provided. Pillars are formed on a substrate. A first oxide layer is continuously formed on upper surfaces and side walls of the pillars by non-conformal liner atomic layer deposition. The first oxide layer continuously covers the pillars and has at least one first opening. The first oxide layer is partially removed to expose the upper surfaces of the pillars, and a first supporting element is formed on the side wall of each of the pillars. The first supporting element is located at a first height on the side wall of the corresponding pillar and surrounds the periphery of the corresponding pillar. The first supporting elements around two adjacent pillars are connected and the first supporting elements around two opposite pillars do not mutually come into contact and have a second opening therebetween.
    Type: Application
    Filed: August 10, 2010
    Publication date: February 16, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Charles C. Wang
  • Patent number: 8105927
    Abstract: A method for manufacturing an ion implantation mask is disclosed which includes the steps of: forming an oxide film as a protective film over the entire surface of a semiconductor substrate; forming a thin metal film over the oxide film; and forming an ion-inhibiting layer composed of an ion-inhibiting metal over the thin metal film. The obtained ion implantation mask is used to form a deeper selectively electroconductive region.
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: January 31, 2012
    Assignees: Honda Motor Co., Ltd., Shindengen Electric Manufacturing Co., Ltd.
    Inventors: Ken-ichi Nonaka, Hideki Hashimoto, Seiichi Yokoyama, Hiroaki Iwakuro, Koichi Nishikawa, Masaaki Shimizu, Yusuke Fukuda
  • Patent number: 8105468
    Abstract: A tantalum nitride film-forming method comprises the steps of introducing, into a vacuum chamber, a raw gas consisting of a coordination compound constituted by elemental Ta having a coordinated ligand represented by the general formula: N?(R,R?) (in the formula, R and R? may be the same or different and each represents an alkyl group having 1 to 6 carbon atoms) to thus adsorb the gas on a substrate; then introducing an NH3 gas and then activated H radicals derived from a reactant gas into a vacuum chamber to thus remove the R(R?) groups bonded to the nitrogen atom present in the reaction product through cleavage, and to thus form a tantalum nitride film rich in tantalum atoms. The resulting tantalum nitride film has a low resistance, low contents of C and N atoms, and a high compositional ratio: Ta/N, can ensure sufficiently high adherence to the distributing wire-forming film and can thus be useful as a barrier film.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: January 31, 2012
    Assignee: Ulvac, Inc.
    Inventors: Narishi Gonohe, Satoru Toyoda, Harunori Ushikawa, Tomoyasu Kondo, Kyuzo Nakamura
  • Patent number: 8101521
    Abstract: The methods described herein relate to deposition of low resistivity, highly conformal tungsten nucleation layers. These layers serve as a seed layers for the deposition of a tungsten bulk layer. The methods are particularly useful for tungsten plug fill in which tungsten is deposited in high aspect ratio features. The methods involve depositing a nucleation layer by a combined PNL and CVD process. The substrate is first exposed to one or more cycles of sequential pulses of a reducing agent and a tungsten precursor in a PNL process. The nucleation layer is then completed by simultaneous exposure of the substrate to a reducing agent and tungsten precursor in a chemical vapor deposition process. In certain embodiments, the process is performed without the use of a borane as a reducing agent.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: January 24, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Juwen Gao, Lana Hiului Chan, Panya Wongsenakhum
  • Publication number: 20110318925
    Abstract: A substrate processing method includes applying electroless plating of CoWB onto a Cu interconnection line formed on a wafer W, and then performing a post-cleaning process by use of a cleaning liquid on the target substrate or wafer before a by-product is precipitated on the surface of the CoWB film formed by the electroless plating to cover the Cu interconnection line.
    Type: Application
    Filed: September 7, 2011
    Publication date: December 29, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takashi Tanaka, Kenichi Hara, Mitsuaki Iwashita
  • Patent number: 8076242
    Abstract: A method for forming an amorphous silicon thin film is disclosed. In some embodiments, a method includes loading a substrate into a reaction chamber; and conducting a plurality of deposition cycles on the substrate. Each of at least two of the cycles includes: supplying a silicon precursor to the reaction chamber during a first time period; applying radio frequency power to the reaction chamber at least partly during the first time period; stopping supplying of the silicon precursor and applying of the radio frequency power during a second time period between the first time period and an immediately subsequent deposition cycle; and supplying hydrogen plasma to the reaction chamber during a third time period between the second time period and the immediately subsequent deposition cycle. The method allows formation of an amorphous silicon film having an excellent step-coverage and a low roughness at a relatively low deposition temperature.
    Type: Grant
    Filed: April 30, 2009
    Date of Patent: December 13, 2011
    Assignee: ASM Genitech Korea Ltd.
    Inventors: Jong Su Kim, Hyung Sang Park, Yong Min Yoo, Hak Yong Kwon, Tae Ho Yoon
  • Patent number: 8071478
    Abstract: A method of controlling the resistivity and morphology of a tungsten film is provided, comprising depositing a first film of a bulk tungsten layer on a substrate during a first deposition stage by (i) introducing a continuous flow of a reducing gas and a pulsed flow of a tungsten-containing compound to a process chamber to deposit tungsten on a surface of the substrate, (ii) flowing the reducing gas without flowing the tungsten-containing compound into the chamber to purge the chamber, and repeating steps (i) through (ii) until the first film fills vias in the substrate surface, increasing the pressure in the process chamber, and during a second deposition stage after the first deposition stage, depositing a second film of the bulk tungsten layer by providing a flow of reducing gas and tungsten-containing compound to the process chamber until a second desired thickness is deposited.
    Type: Grant
    Filed: December 15, 2009
    Date of Patent: December 6, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Kai Wu, Amit Khandelwal, Averginos V. Gelatos
  • Patent number: 8058170
    Abstract: Methods of forming low resistivity tungsten films with good uniformity and good adhesion to the underlying layer are provided. The methods involve forming a tungsten nucleation layer using a pulsed nucleation layer process at low temperature and then treating the deposited nucleation layer prior to depositing the bulk tungsten fill. The treatment operation lowers resistivity of the deposited tungsten film. In certain embodiments, the depositing the nucleation layer involves a boron-based chemistry in the absence of hydrogen. Also in certain embodiments, the treatment operations involve exposing the nucleation layer to alternating cycles of a reducing agent and a tungsten-containing precursor. The methods are useful for depositing films in high aspect ratio and/or narrow features. The films exhibit low resistivity at narrow line widths and excellent step coverage.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: November 15, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Anand Chandrashekar, Mirko Glass, Raashina Humayun, Michael Danek, Kaihan Ashtiani, Feng Chen, Lana Hiului Chan, Anil Mane
  • Patent number: 8056500
    Abstract: Embodiments of the present invention provide apparatus and method for improving gas distribution during thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support is configured to support and rotate the substrate, a gas inlet assembly coupled to an inlet of the chamber body and configured to provide a first gas flow to the processing volume, and an exhaust assembly coupled to an outlet of the chamber body, wherein the gas inlet assembly and the exhaust assembly are disposed on opposite sides of the chamber body, and the exhaust assembly defines an exhaust volume configured to extend the processing volume.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: November 15, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ming-Kuei (Michael) Tseng, Norman Tam, Yoshitaka Yokota, Agus Tjandra, Robert Navasca, Mehran Behdjat, Sundar Ramamurthy, Kedarnath Sangam, Alexander N. Lerner
  • Publication number: 20110266674
    Abstract: The present disclosure provides methods for forming semiconductor devices with laser-etched vias and apparatus including the same. In one embodiment, a method of fabricating a semiconductor device includes providing a substrate having a frontside and a backside, and providing a layer above the frontside of the substrate, the layer having a different composition from the substrate. The method further includes controlling a laser power and a laser pulse number to laser etch an opening through the layer and at least a portion of the frontside of the substrate, filling the opening with a conductive material to form a via, removing a portion of the backside of the substrate to expose the via, and electrically coupling a first element to a second element with the via. A semiconductor device fabricated by such a method is also disclosed.
    Type: Application
    Filed: April 28, 2010
    Publication date: November 3, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsing-Kuo Hsia, Chih-Kuang Yu, Ching-Hua Chiu, Troy Wu
  • Patent number: 8048799
    Abstract: A method for forming copper wirings in a semiconductor device may include depositing a lower insulating film over a semiconductor substrate; forming vias in the lower insulating film; depositing tungsten over the entire surface of upper portion of the lower insulating film so that the vias are gap-filled with the tungsten; forming tungsten plugs by performing a tungsten chemical mechanical polishing process to remove excess tungsten deposited over the upper portion of the lower insulating film; removing the tungsten remaining over the upper portion of the lower insulating film by performing a tungsten etchback process; depositing an upper insulating film over the upper portion of the lower insulating film; exposing upper portions of the tungsten plugs by forming trenches on the upper insulating film; depositing copper over the entire surface of the upper insulating film so that the trenches are gap-filled with the copper; and planarizing the copper over the upper portion of the trenches.
    Type: Grant
    Filed: December 10, 2009
    Date of Patent: November 1, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kweng-Rae Cho
  • Patent number: 8048805
    Abstract: Improved methods for depositing low resistivity tungsten films are provided. The methods involve depositing a tungsten nucleation layer on a substrate and then depositing a tungsten bulk layer over the tungsten nucleation layer to form the tungsten film. The methods provide precise control of the nucleation layer thickness and improved step coverage. According to various embodiments, the methods involve controlling thickness and/or improving step coverage by exposing the substrate to pulse nucleation layer (PNL) cycles at low temperature. Also in some embodiments, the methods may improve resistivity by using a high temperature PNL cycle of a boron-containing species and a tungsten-containing precursor to finish forming the tungsten nucleation layer.
    Type: Grant
    Filed: August 10, 2009
    Date of Patent: November 1, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Lana Hiului Chan, Panya Wongsenakhum, Joshua Collins
  • Patent number: 8043944
    Abstract: Processes for enhancing solubility and the reaction rates in supercritical fluids are provided. In preferred embodiments, such processes provide for the uniform and precise deposition of metal-containing films on semiconductor substrates as well as the uniform and precise removal of materials from such substrates. In one embodiment, the process includes, providing a supercritical fluid containing at least one reactant, the supercritical fluid being maintained at above its critical point, exposing at least a portion of the surface of the semiconductor substrate to the supercritical fluid, applying acoustic energy, and reacting the at least one reactant to cause a change in at least a portion of the surface of the semiconductor substrate.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: October 25, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Theodore M. Taylor, Stephen J. Kramer
  • Publication number: 20110256722
    Abstract: Methods of forming a roughened metal surface on a substrate are provided, along with structures comprising such roughened surfaces. In preferred embodiments roughened surfaces are formed by selectively depositing metal or metal oxide on a substrate surface to form discrete, three-dimensional islands. Selective deposition may be obtained, for example, by modifying process conditions to cause metal agglomeration or by treating the substrate surface to provide a limited number of discontinuous reactive sites. The roughened metal surface may be used, for example, in the manufacture of integrated circuits.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 20, 2011
    Applicant: ASM INTERNATIONAL N.V.
    Inventors: Hannu Huotari, Suvi Haukka