Coating Of Sidewall Patents (Class 438/696)
  • Patent number: 7169677
    Abstract: A method for fabricating a spacer structure includes: forming a gate insulation layer having a gate deposition-inhibiting layer, a gate layer and a covering deposition-inhibiting layer on a semiconductor substrate, and patterning the gate layer and the covering deposition-inhibiting layer in order to form gate stacks. An insulation layer is deposited selectively using the deposition-inhibiting layers, thereby permitting highly accurate formation of the spacer structure.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: January 30, 2007
    Assignee: Infineon Technologies AG
    Inventor: Helmut Tews
  • Patent number: 7166232
    Abstract: According to a method for producing a solid body (1) including a microstructure (2), the surface of a substrate (3) is provided with a masking layer (6) that is impermeable to a substance to be applied. The substance is then incorporated into the substrate regions not covered by the masking layer (6). A heat treatment is used to diffuse the substance into a substrate region covered by the masking layer (6) such that a concentration gradient of the substance is created in the substrate region covered by the masking layer (6), proceeding from the edge of the masking layer (6) inward with increasing distance from the edge. The masking layer (6) is then removed to expose the substrate region under this layer, and a near-surface layer of the substrate (3) in the exposed substrate region is converted by a chemical conversion reaction into a coating (9) which has a layer thickness profile corresponding to the concentration gradient of the substance contained in this near-surface layer.
    Type: Grant
    Filed: December 21, 2000
    Date of Patent: January 23, 2007
    Assignee: Micronas GmbH
    Inventors: Guenter Igel, Mirko Lehmann
  • Patent number: 7141485
    Abstract: A method for reducing sidewall capacitance by 25% or more in an STI structure is described. A conformal barrier layer is deposited on sloped sidewalls in a shallow trench within a substrate. The trench is filled with a low k dielectric material which is planarized and etched back. Next a barrier cap layer is deposited that is different than the underlying low k dielectric layer. In one embodiment, the barrier cap layer is a SiCOH material that is modified for enhanced CMP performance that yields fewer surface scratches and defects. A nitride etch stop layer and a pad oxide are removed above an active area on the substrate to afford the final STI structure. Optionally, the barrier cap layer is omitted and the low k dielectric layer extends slightly above the substrate level. Total parasitic capacitance in the resulting MOS device is reduced by 15% or more.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: November 28, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ke-Wei Su, Cheng Hsiao, Jaw-Kang Her
  • Patent number: 7141514
    Abstract: A transistor gate selective re-oxidation process includes the steps of introducing into the vacuum chamber containing the semiconductor substrate a process gas that includes oxygen while maintaining a vacuum pressure in the chamber. An oxide insulating layer on the order of several Angstroms in thickness is formed by generating a plasma in a plasma generation region within the vacuum chamber during successive “on” times, and allowing ion energy of the plasma to decay during successive “off” intervals separating the successive “on” intervals, the “on” and “off” intervals defining a controllable duty cycle. During formation of the oxide insulating layer, the duty cycle is limited so as to limit formation of ion bombardment-induced defects in the insulating layer, while the vacuum pressure is limited so as to limit formation of contamination-induced defects in the insulating layer.
    Type: Grant
    Filed: February 2, 2005
    Date of Patent: November 28, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Thai Cheng Chua
  • Patent number: 7132134
    Abstract: A method and apparatus for depositing a conformal dielectric layer employing a dep-etch technique features selectively reducing the flow of deposition gases into a process chamber where a substrate having a stepped surface to be covered by the conformal dielectric layer is disposed. By selectively reducing the flow of deposition gases into the process chamber, the concentration of a sputtering gas, from which a plasma is formed, in the process chamber is increased without increasing the pressure therein. It is preferred that the flow of deposition gases be periodically terminated so as to provide a sputtering gas concentration approaching 100%. In this fashion, the etch rate of a conformal dielectric layer having adequate gap-filling characteristics may be greatly increased, while allowing an increase in the deposition rate of the same.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: November 7, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 7132368
    Abstract: A method for processing integrated circuit memory devices. The method includes supporting a partially completed substrate, the substrate comprising a plurality of MOS gate structures. Each of the gate structures has substantially vertical regions that define sides of the gate structures. The method forms a conformal dielectric layer overlying the gate structures. The conformal dielectric layer has a predetermined thickness of material that covers each of the gate structures including vertical regions. The method also forms sidewall spacers on the sides of the gate structures from the conformal dielectric layer using an anisotropic etching process and exposes a portion of the substrate region during the formation of the sidewall spacers using the anisotropic etching process to cause physical damage (e.g., plasma damage, cracks) to a portion of the exposed portion of the substrate.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: November 7, 2006
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Mingching Wang, Kuang-Yu Huang, Chi-po Liao, Yan-Shi Tian
  • Patent number: 7129176
    Abstract: An optical device includes a semiconductor substrate and an optical part having a plurality of columnar members disposed on the substrate. Each columnar member is disposed in a standing manner and adhered each other so that the optical part is provided. The optical part is integrated with the substrate. This optical part has high design freedom.
    Type: Grant
    Filed: January 20, 2004
    Date of Patent: October 31, 2006
    Assignee: Denso Corporation
    Inventors: Junji Oohara, Kazuhiko Kano, Yoshitaka Noda, Yukihiro Takeuchi, Toshiyuki Morishita
  • Patent number: 7125805
    Abstract: A semiconductor fabrication process includes forming a gate electrode overlying a substrate. A first silicon nitride spacer is formed adjacent the gate electrode sidewalls and a disposable silicon nitride spacer is then formed adjacent the offset spacer. An elevated source/drain structure, defined by the boundaries of the disposable spacer, is then formed epitaxially. The disposable spacer is then removed to expose the substrate proximal to the gate electrode and a shallow implant, such as a halo or extension implant, is introduced into the exposed substrate proximal the gate electrode. A replacement spacer is formed substantially where the disposable spacer existed a source/drain implant is done to introduce a source/drain impurity distribution into the elevated source drain. The gate electrode may include an overlying silicon nitride capping layer and the first silicon nitride spacer may contact the capping layer to surround the polysilicon gate electrode in silicon nitride.
    Type: Grant
    Filed: May 5, 2004
    Date of Patent: October 24, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jian Chen, Rode R. Mora, Marc A. Rossow, Yasuhito Shiho
  • Patent number: 7112531
    Abstract: Methods of providing silicon oxide on a substrate in a single process step by simultaneously introducing both a silicon source gas and an etch gas into a CVD chamber. As a result, the method will typically involve simultaneous deposition and etching of the silicon oxide. The method is particularly useful for providing silicon oxide spacers with faceted surfaces.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: September 26, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Anand Srinivasan
  • Patent number: 7109080
    Abstract: A method of forming a contact for a semiconductor device by forming a storage node contact in a semiconductor substrate having a first pad and a second pad formed thereon. The storage node contact is connected to the second pad. A bit line electrically insulated from the storage node contact by a spacer and electrically connected to the first pad.
    Type: Grant
    Filed: January 12, 2005
    Date of Patent: September 19, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Woo Lee, Jong-Chul Park, O-Ik Kwon, Sang-Sup Jeong
  • Patent number: 7105451
    Abstract: A resist pattern formed so as to expose a wafer edge region is used to expose an edge surface region of an Si support substrate by dry etching. Next, a conductive layer constituted as wirings by subsequent patterning is formed by sputtering.
    Type: Grant
    Filed: January 22, 2004
    Date of Patent: September 12, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Koichi Kishiro
  • Patent number: 7078347
    Abstract: A gate structure (30) is formed over a semiconductor (10). Sidewall structures (200) of a first width W1 are formed adjacent to the gate structure (30) and source and drain regions (90) are formed in the semiconductor (10). An etch process is performed to reduce the width of the sidewall structure to W2 and silicide regions (110) are then formed adjacent to the sidewall structures (205).
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: July 18, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Freidoon Mehrad, Scott F. Johnson, Reji K. Koshy
  • Patent number: 7071111
    Abstract: The present invention relates to an integrated circuit having a sealed nitride layer. In one embodiment, a method of forming a sealing nitride layer overlaying a silicon oxide layer in a contact opening of an integrated circuit is disclosed. The method comprises, forming a second layer of nitride overlaying a first layer of nitride to form the sealing nitride layer. The second layer of nitride further overlays an exposed portion of a surface of a substrate in the contact opening and sidewalls of the contact opening. Using reactive ion etching (RIE etch) without a mask to remove a portion of the second nitride layer adjacent the surface of the substrate in the contact opening to expose a portion of the surface of the substrate in the contact opening without removing portions of the second nitride layer covering the sidewalls of the contact opening.
    Type: Grant
    Filed: October 25, 2001
    Date of Patent: July 4, 2006
    Assignee: Intersil Americas Inc.
    Inventor: James D. Beasom
  • Patent number: 7071108
    Abstract: There is provided a method of manufacturing a semiconductor device, including irradiating a chemical mechanical polishing slurry with light on applying a chemical mechanical polishing treatment using the slurry to a polishing section of a target substrate to be polished, the slurry containing a dispersion medium and abrasive particles dispersed in the dispersion medium and exhibiting a photocatalytic function upon irradiation with light.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: July 4, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yukiteru Matsui, Gaku Minamihaba, Hiroyuki Yano
  • Patent number: 7064022
    Abstract: A method forms a semiconductor device from a device that includes a first source region, a first drain region, and a first fin structure that are separated from a second source region, a second drain region, and a second fin structure by an insulating layer. The method may include forming a dielectric layer over the device and removing portions of the dielectric layer to create covered portions and bare portions. The method may also include depositing a gate material over the covered portions and bare portions, doping the first fin structure, the first source region, and the first drain region with a first material, and doping the second fin structure, the second source region, and the second drain region with a second material. The method may further include removing a portion of the gate material over at least one covered portion to form the semiconductor device.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Wiley Eugene Hill, Ming-Ren Lin, Bin Yu
  • Patent number: 7064071
    Abstract: In a process for forming L-shaped sidewall spacers for a conducive line element, such as a gate electrode structure, the sacrificial spacers are formed of a material having a similar etch behavior as the material of the finally obtained L-shaped spacer, thereby improving tool utilization and reducing process complexity compared to conventional processes. In one particular embodiment, a spacer layer stack is provided having a first etch stop layer, a first spacer layer, a second etch stop layer, and a second spacer layer, wherein the first and second spacer layers are comprised of silicon nitride.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: June 20, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Christoph Schwan
  • Patent number: 7052617
    Abstract: A process for producing multiple undercut profiles in a single material. A resist pattern is applied over a work piece and a wet etch is performed to produce an undercut in the material. This first wet etch is followed by a polymerizing dry etch that produces a polymer film in the undercut created by the first wet etch. The polymer film prevents further etching of the undercut portion during a second wet etch. Thus, an undercut profile can be obtained having a larger undercut in an underlying portion of the work piece, utilizing only a single resist application step. The work piece may be a multi-layer work piece having different layers formed of the same material, or it may be a single layer of material.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Karen Huang, Christophe Pierrat
  • Patent number: 7045450
    Abstract: Disclosed is a method of manufacturing a semiconductor device. The method includes the steps of forming gates on a substrate, forming junction areas on a surface of the substrate, forming a first BPSG layer on a resultant structure of the substrate, performing a first CVD process for the first BPSG layer, forming a second BPSG layer on the first BPSG layer, forming a landing plug contact, depositing a polysilicon layer on a resultant structure of the substrate, and performing a second CMP process for the polysilicon layer, the second BPSG layer and the nitride hard mask. The CMP processes are carried by using acid slurry having a high polishing selectivity with respect to the nitride layer, so a step difference between the cell region and the peripheral region is removed, thereby simplifying the semiconductor manufacturing process and removing a dishing phenomenon.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: May 16, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang Ick Lee, Jong Han Shin, Hyung Soon Park
  • Patent number: 7041601
    Abstract: A method of manufacturing a MOSFET type semiconductor device includes forming a fin structure and a dummy gate structure over the fin structure. Sidewall spacers may be formed adjacent the dummy gate structure. The dummy gate structure may be later removed and replaced with a metal layer that is formed at a high temperature (e.g., 600°–700° C.). The cooling of the metal layer induces strain to the fin structure that affects the mobility of the double-gate MOSFET.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: May 9, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Haihong Wang
  • Patent number: 7033944
    Abstract: A dual damascene process is disclosed. According to the dual damascene process of the present invention, a first recessed region through an intermetal dielectric layer is filled with a bottom protecting layer, and the bottom protecting layer and the intermetal dielectric layer are simultaneously etched to form a second recessed region that has a shallower depth and wider width than the first recessed region on the first recessed region by using an etch gas selectively etches the intermetal dielectric layer with respect to the bottom protecting layer. In other words, the etch selectivity ratio, the intermetal dielectric layer with respect to the bottom protecting layer, is preferably about 0.5 to about 1.5. Thus, it is possible to form a dual damascene structure without the formation of a byproduct or an oxide fence.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: April 25, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Wan-Jae Park, Il-Goo Kim, Sang-Rok Hah, Kyoung-Woo Lee
  • Patent number: 7033948
    Abstract: A semiconductor manufacturing method that includes defining a substrate, depositing a polysilicon layer over the substrate, depositing a layer of photoresist over the polysilicon layer, patterning and defining the photoresist layer, depositing a layer of inorganic material over the patterned and defined photoresist layer, wherein the layer of inorganic material is conformal and photo-insensitive, and anisotropic etching the layer of inorganic material and the layer of semiconductor material.
    Type: Grant
    Filed: December 19, 2003
    Date of Patent: April 25, 2006
    Assignee: Macronix International Co., Ltd.
    Inventors: Henry Wei-Ming Chung, Shin-Yi Tsai, Ming-Chung Liang
  • Patent number: 7030022
    Abstract: Provided is a method of manufacturing a semiconductor device having a first region, in which a capacitance component is a dominant cause of a RC delay, and a second region, in which a resistance component is a dominant cause of a RC delay. The method comprises performing a first etching process to an insulating layer formed on a semiconductor substrate, so that a first trench having a first thickness and a second trench having the first thickness are formed in the first region and the second region, respectively; performing a second etching process to the second trench, so that a third trench having a second thickness thicker than the first thickness is formed in the second region; filling the first trench and the third trench with a metal layer; and removing portions of the metal layer, so that a first metal interconnection and a second metal interconnection are formed inside of the first trench and the third trench, respectively.
    Type: Grant
    Filed: September 4, 2003
    Date of Patent: April 18, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mu-kyoung Jung, Kyung-tae Lee
  • Patent number: 7029937
    Abstract: A depression is formed from a first surface of a semiconductor substrate. An insulating layer is provided on the bottom surface and an inner wall surface of the depression. A conductive portion is provided inside the insulating layer. A second surface of the semiconductor substrate is etched by a first etchant having characteristics such that the etching amount with respect to the semiconductor substrate is greater than the etching amount with respect to the insulating layer, and the conductive portion is caused to project while covered by the insulating layer. At least a portion of the insulating layer formed on the bottom surface of the depression is etched with a second etchant having characteristics such that at least the insulating layer is etched without forming a residue on the conductive portion, to expose the conductive portion.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: April 18, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Ikuya Miyazawa
  • Patent number: 7018551
    Abstract: A method of forming integrated circuits having FinFET transistors includes a method of forming sub-lithographic fins, in which a mask defining a block of silicon including a pair of fins in reduced in width or pulled back by the thickness of one fin on each side, after which a second mask is formed around the first mask, so that after the first mask is removed, an aperture remains in the second mask having the width of the separation distance between the pair of fins. When the silicon is etched through the aperture, the fins are protected by the second mask, thereby defining fin thickness by the pullback step. An alternative method uses lithography of opposite polarity, first defining the central etch aperture between the two fins lithographically, then expanding the width of the aperture by a pullback step, so that filling the widened aperture with an etch-resistant plug defines the outer edges of the pair of fins, thereby setting the fin width without an alignment kstep.
    Type: Grant
    Filed: December 9, 2003
    Date of Patent: March 28, 2006
    Assignee: International Business Machines Corporation
    Inventors: Jochen C. Beintner, Dureseti Chidambarrao, Yujun Li, Kenneth T. Settlemyer, Jr.
  • Patent number: 7005375
    Abstract: A process for preventing interconnect metal diffusion into the surrounding dielectric material. Prior to the formation of a metal interconnect in an opening of a dielectric region, the underlying metal surface is cleaned, during which metal can be deposited on the sidewalls of the opening. This metal can diffuse into the dielectric and cause leakage currents. To prevent deposition of the metal onto the sidewalls a barrier layer is deposited into the opening and sputtered onto the sidewalls before the metal surface cleaning step.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: February 28, 2006
    Assignee: Agere Systems Inc.
    Inventors: Subramanian Karthikeyan, Sailesh M. Merchant
  • Patent number: 7001784
    Abstract: A method of fabricating final spacers having a target width comprises the following steps. Initial spacers, each having an initial width that is less than the target width, are formed over the opposing side walls of a gate electrode portion. The difference between the initial spacer width and the target width is determined. A second spacer layer having a thickness equal to the determined difference between the initial width of the initial spacers and the target width is formed upon the initial spacers and the structure. The second spacer layer is etched to leave second spacer layer portions extending from the initial spacers to form the final spacers.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: February 21, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Jyh-Shiou Hsu, Pin-Yi Hsin, Jeng Yu
  • Patent number: 6998348
    Abstract: A method for manufacturing semiconductor-integrated electronic circuits includes: depositing an auxiliary layer on a substrate; depositing a layer of screening material on the auxiliary layer; selectively removing the layer of screening material to provide a first opening in the layer of screening material and expose an area of the auxiliary layer; and removing this area of the auxiliary layer to form a second opening in the auxiliary layer, whose cross-section narrows toward the substrate to expose an area of the substrate being smaller than the area exposed by the first opening.
    Type: Grant
    Filed: May 1, 2003
    Date of Patent: February 14, 2006
    Assignee: STMicroelectronics S.r.l.
    Inventors: Francesco Ciovacco, Simone Alba, Roberto Colombo, Chiara Savardi
  • Patent number: 6984858
    Abstract: In a semiconductor device including a plurality of element regions and an element isolation region based on STI (shallow trench isolation) which electrically isolates the element regions from each other, each of the element regions includes; a channel region; source/drain regions formed to sandwich the channel region in a horizontal direction; a gate insulation film which is formed on the channel region and in which an angle of a bird's beak is 1 degree or smaller, the bird's beak being formed from a side of the element isolation region on a surface opposite a surface facing the channel region in a horizontal direction substantially perpendicular to the direction in which the source/drain region sandwich the channel region; and a gate electrode layer formed on the gate insulation film.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: January 10, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahisa Sonoda, Tadashi Iguchi, Hiroaki Tsunoda, Eiji Sakagami
  • Patent number: 6967165
    Abstract: A method for forming a multilayer interconnect includes: a first step of forming a lower layer interconnect in an upper portion of a first insulating film and then forming a second insulating film and a third insulating film in this order on the first insulating film including the lower layer interconnect; a second step of forming an aperture in part of the third insulating film located above the lower layer interconnect; a third step of forming an interconnect groove in an upper portion of the third insulating film so that an upper portion of the aperture is part of the interconnect groove while reducing the thickness of part of the second insulating film located under the aperture without having the lower layer interconnect exposed; a fourth step of removing part of the second insulating film located under the aperture to expose the lower layer interconnect; and a fifth step of filling a conductive film in the aperture and the interconnect groove and thereby forming an upper layer interconnect and a connect
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: November 22, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Michio Morita
  • Patent number: 6960530
    Abstract: A method of reducing trench aspect ratio. A trench is formed in a substrate. A conformal Si-rich oxide layer is formed on the surface of the trench by HDPCVD. A conformal first oxide layer is formed on the Si-rich oxide layer by HDPCVD. A conformal second oxide layer is formed on the first oxide layer by LPCVD. Part of the Si-rich oxide layer, the second oxide layer and the first oxide layer are removed by anisotropic etching to form an oxide spacer composed of a remaining Si-rich oxide layer, a remaining second oxide layer and a remaining first oxide layer. The remaining second oxide layer, part of the remaining first oxide layer and part of the Si-rich oxide layer are removed by BOE. Thus, parts of the remaining first and Si-rich oxide layers are formed on the lower surface of the trench, thereby reducing the trench aspect ratio.
    Type: Grant
    Filed: November 28, 2003
    Date of Patent: November 1, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Chang-Rong Wu, Yi-Nan Chen, Kuo-Chien Wu, Hung-Chang Liao
  • Patent number: 6960529
    Abstract: Methods for protecting the sidewall of a metal interconnect component using Physical Vapor Deposition (PVD) processes and using a single barrier metal material. After forming the metal interconnect component, a single barrier metal is deposited on its sidewall using PVD. A subsequent anisotropic etching of the barrier metal removes the barrier metal from the horizontal surface except for some that still remains on the top surface of the metal interconnect layer. A dielectric layer is then formed over the metal interconnect component and the barrier metal. The unlanded via is etched through the dielectric layer to the metal interconnect component, and then filled with a second metal to thereby allow the metal interconnect component to electrically connect with one or more upper metal layers.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: November 1, 2005
    Assignee: AMI Semiconductor, Inc.
    Inventors: Mark M. Nelson, Brett N. Williams, Jagdish Prasad
  • Patent number: 6960527
    Abstract: First and second vertical structures are formed on first and second surface regions of a silicon substrate. Each of the first and second vertical structures includes a tunneling layer pattern, a charge trapping layer pattern, and blocking layer pattern sequentially stacked on the silicon substrate. A gate insulating layer is formed on a third surface region of the silicon substrate which is interposed between the first and second surface regions of the silicon substrate. First and second gate spacers are formed on respective surface portions of the gate insulating layer, with the first gate spacer contacting an upper portion of a sidewall of the first vertical structure and protruding above an upper surface of the first vertical structure, and the second gate spacer contacting an upper portion of a sidewall of the second vertical structure and protruding above an upper surface of the second vertical structure.
    Type: Grant
    Filed: September 5, 2003
    Date of Patent: November 1, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Sung-Taeg Kang
  • Patent number: 6953744
    Abstract: The present invention provides methods of fabricating integrated circuit devices that include a microelectronic substrate and a conductive layer disposed on the microelectronic substrate. An insulating layer is disposed on the conductive layer and the insulating layer includes an overhanging portion that extends beyond the conductive layer. A sidewall insulating region is disposed laterally adjacent to a sidewall of the conductive layer and extends between the overhanging portion of the insulating layer and the microelectronic substrate.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: October 11, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyoung-Joon Kim, Young-Wook Park, Byeong-Yun Nam
  • Patent number: 6951821
    Abstract: A processing system and method for chemically treating a substrate, wherein the processing system comprises a temperature controlled chemical treatment chamber, and an independently temperature controlled substrate holder for supporting a substrate for chemical treatment. The substrate holder is thermally insulated from the chemical treatment chamber. The substrate is exposed to a gaseous chemistry, without plasma, under controlled conditions including wall temperature, surface temperature and gas pressure. The chemical treatment of the substrate chemically alters exposed surfaces on the substrate.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: October 4, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Thomas Hamelin, Jay Wallace, Arthur Laflamme, Jr.
  • Patent number: 6951809
    Abstract: A lower barrier layer made of tantalum nitride having a thickness of approximately 25 nm is deposited by sputtering on a fourth insulating film inclusive of the sidewall surfaces and the bottom surfaces of a via hole and an upper-interconnect-forming groove. The sputtering is performed under the conditions where approximately 10 kW of DC source power is applied to a target. Thereafter, the DC source power is reduced to approximately 2 kW, and approximately 200 W of RF power is applied to a semiconductor substrate. Here, the lower barrier layer is subjected to a sputter-etching process employing argon gas at an etching amount of approximately 5 nm, so that a part of the lower barrier layer deposited on the bottom surface of the via hole is at least partially deposited on the lower part of the sidewall surface of the via hole.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: October 4, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Nobuaki Tarumi, Atsushi Ikeda, Takenobu Kishida
  • Patent number: 6949467
    Abstract: The present invention provides a manufacturing method of a contact for use in a semiconductor device and a manufacturing method of a PMOS device using the same, which can obtain an electrical characteristic of a low contact resistance similar to a mixed implantation of 49BF2+ ions and 11B+ ions and reduce a manufacturing cost. The method for forming a contact of a semiconductor device includes: the steps of: forming an insulating layer on a conductive semiconductor layer; forming a contact hole within the insulating layer to expose a portion of the conductive semiconductor layer; forming a plug implantation region by implanting 30BF+ ions into the exposed conductive semiconductor layer disposed on a bottom of the contact hole; performing an annealing process for activating dopants injected by the implantation of 30BF+ ions; and filling the contact hole with a conductive layer.
    Type: Grant
    Filed: December 16, 2002
    Date of Patent: September 27, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Yong-Sun Sohn
  • Patent number: 6946359
    Abstract: A method of fabricating a trench isolation with high aspect ratio. The method comprises the steps of: providing a substrate with a trench; depositing a first isolation layer filling the trench by low pressure chemical vapor deposition; etching the first isolation layer so that its surface is lowered to the opening of the trench; depositing a second isolation layer to fill the trench without voids by high density plasma chemical vapor deposition and achieving global planarization by chemical-mechanical polishing then providing a rapidly annealing procedure. Accordingly, the present invention achieves void-free trench isolation with high aspect ratio.
    Type: Grant
    Filed: October 22, 2003
    Date of Patent: September 20, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Sheng-Wei Yang, Neng-Tai Shih, Wen-Sheng Liao, Chih-How Chang
  • Patent number: 6943118
    Abstract: In a method of fabricating a flash memory, a tunneling dielectric layer, a first conductive layer and a mask layer are sequentially formed on a substrate to form a gate structure. Buried source/drain regions are then formed in the substrate between the strips. The strips are further patterned into floating gate structures. An insulation layer is formed sideways adjacent to the gate structure. The insulation layer has a top surface lower than a top surface of the first conductive layer of the gate structure. The mask layer is removed, and an additional conductive layer is formed on the first conductive layer in a manner to extend over the adjacent insulation layer. The first and additional conductive layers form a floating gate. A gate dielectric layer is formed on the floating gate, and a control gate is formed on the gate dielectric layer.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: September 13, 2005
    Assignee: Macronix International Co., Ltd.
    Inventors: Kuang-Chao Chen, Jui-Lin Lu, Ling-Wuu Yang
  • Patent number: 6943119
    Abstract: In accordance with the objectives of the invention a new Method and recipe is provided for etching of stacked layers of polysilicon. The invention provides for an added flash step after the conventional Overall Etch (OE).
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: September 13, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: May-Ho Ko
  • Patent number: 6936383
    Abstract: By using conventional spacer and etch techniques, microstructure elements, such as lines and contact openings of integrated circuits, may be formed with dimensions that are mainly determined by the layer thickness of the spacer layer. In a sacrificial layer, an opening is formed by means of standard lithography and etch techniques and, subsequently, a spacer layer is conformally deposited, wherein a thickness of the spacer layer at the sidewalls of the opening substantially determines the effective width of the microstructure element to be formed. By using standard 193 nm lithography and etch processes, gate electrodes of 50 nm and beyond can be obtained without significant changes in standard process recipes.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: August 30, 2005
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Martin Mazur, Carsten Hartig, Georg Sulzer
  • Patent number: 6933236
    Abstract: A method for forming a photoresist pattern with minimally reduced transformations through the use of ArF photolithography, including the steps of: forming an organic anti-reflective coating layer on a an etch-target layer already formed on a substrate; coating a photoresist for ArF on the organic anti-reflective coating layer; exposing the photoresist with ArF laser; forming a first photoresist pattern by developing the photoresist, wherein portions of the organic anti-reflective coating layer are revealed; etching the organic anti-reflective coating layer with the first photoresist pattern as an etch mask and forming a second photoresist pattern by attaching polymer to the first photoresist pattern, wherein the polymer is generated during etching the organic anti-reflection coating layer with an etchant including O2 plasma; and etching the etch-target layer by using the second photoresist pattern as an etch mask.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: August 23, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Sang-Ik Kim, Weon-Joon Suh
  • Patent number: 6924221
    Abstract: A process for fabricating a dual damascene structure of copper has been developed. This process uses a thin nitride spacer, approximately 100 Angstroms thick, at the bottom of the via, thus preventing recessed nitride during the resist stripping process.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: August 2, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Yun Hung Shen
  • Patent number: 6924237
    Abstract: A method is used to form a circuit to achieve a high-speed performance and a circuit to attain a high reliability on one and the same substrate, in a semiconductor integrated circuit device containing MIS transistors, in which the gate insulating film is made of a high dielectric constant insulating film. In the method, the high dielectric constant insulating film is removed on the diffusion regions of the MIS transistors in the logic region and I/O region, and silicide layers of a low resistance are formed on the surfaces of the diffusion regions. In the memory region, on the other hand, the silicide layers are not formed on the diffusion regions of the MIS transistors, and the diffusion regions are covered with the high dielectric constant insulating film, thereby preventing damage to the semiconductor substrate during forming of the spacers, silicide layers, and contact holes.
    Type: Grant
    Filed: October 28, 2002
    Date of Patent: August 2, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Fumio Ootsuka, Satoshi Yamamoto, Satoshi Sakai
  • Patent number: 6921695
    Abstract: A split gate FET wordline electrode structure and method for forming the same including an improved polysilicon etching process including providing a semiconductor wafer process surface comprising first exposed polysilicon portions and adjacent oxide portions; forming a first oxide layer on the exposed polysilicon portions; blanket depositing a polysilicon layer on the first exposed polysilicon portions and adjacent oxide portions; forming a hardmask layer on the polysilicon layer; carrying out a multi-step reactive ion etching (RIE) process to etch through the hardmask layer and etch through a thickness portion of the polysilicon layer to form second polysilicon portions adjacent the oxide portions having upward protruding outer polysilicon fence portions; contacting the semiconductor wafer process surface with an aqueous HF solution; and, carrying out a downstream plasma etching process to remove polysilicon fence portions.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: July 26, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsiu Ouyang, Chi-Hsin Lo, Chen-Ming Huang, Chia-Ta Hsieh, Chia-Shiung Tsai
  • Patent number: 6916743
    Abstract: A semiconductor device manufacturing method that enables accurate recognition of an alignment mark and optimal formation of a buried wiring. The method includes depositing an insulation film above a semiconductor device, and then etching the insulation film to form a buried wiring hole and an alignment mark pit in the insulation film. Subsequently, a conductive film is deposited on the surface of the insulation film that includes the buried wiring hole and the alignment mark pit. The conductive film is deposited so that it is less than the depth of the alignment mark pit and less than half of a minimum opening width of the alignment mark pit.
    Type: Grant
    Filed: July 18, 2002
    Date of Patent: July 12, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Tomio Yamashita, Yoshio Okayama
  • Patent number: 6913962
    Abstract: A manufacturing method of a semiconductor device disclosed herein, comprises: forming a buried insulating film in a semiconductor substrate; forming semiconductor elements isolated by the buried insulating film; cleaning a surface side of the semiconductor substrate with a cleaning solution; and covering a surface side of the buried insulating film with a protective film before the step of cleaning the surface side of the semiconductor substrate, wherein a protective film is resistant to the cleaning solution.
    Type: Grant
    Filed: July 15, 2003
    Date of Patent: July 5, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yasuo Okada
  • Patent number: 6913871
    Abstract: Optical gratings are fabricated on a scale that may be smaller than the resolution of the lithographic system used to generate the grating pattern. Parallel ridges are formed using lithographic techniques. A conformal deposition and anisotropic etch are then used to form sidewalls on the sides of the ridges. After removing the ridges, the remaining sidewalls are used as a mask to etch the substrate. Removing the sidewalls leaves the desired grating pattern, with a pitch spacing of one-half that of the original ridges.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: July 5, 2005
    Assignee: Intel Corporation
    Inventor: Daniel W. So
  • Patent number: 6913976
    Abstract: Disclosed is a method of manufacturing the semiconductor devices. The method comprising the steps of forming a gate electrode on a semiconductor substrate, depositing an oxide film for a spacer on the gate electrode, implementing an anisotropic dry etch process for the oxide film for the spacer to form spacers at the sidewalls of the gate electrode, and implementing a rapid thermal annealing process for the spacers under an oxygen atmosphere in order to segregate hydrogen contained within the spacers toward the surface. Therefore, hydrogen contained within the spacer oxide film is not diffused into the tunnel oxide film and the film quality of the tunnel oxide film is thus improved. As a result, program or erase operation characteristics of the flash memory device and a retention characteristic of the flash memory device could be improved.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: July 5, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Seung Cheol Lee, Sang Wook Park
  • Patent number: 6911156
    Abstract: A method for fabricating a magnetic memory element structure comprises providing a dielectric layer having a conducting via. A first magnetic layer is formed overlying the dielectric layer and is in electrical communication with the conducting via. A non-magnetic layer and a second magnetic layer are formed overlying the first magnetic layer. A first conductive layer is deposited overlying the second magnetic layer and is patterned. A portion of the second magnetic layer is exposed and is transformed to form an inactive portion and an active portion. The active portion comprises a portion of a memory element and the inactive portion comprises an insulator. A sidewall spacer is formed about at least one sidewall of the first conductive layer and a masking tab is formed that overlies a portion of the memory element and extends to overlie at least a portion of the conducting via.
    Type: Grant
    Filed: April 16, 2003
    Date of Patent: June 28, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory W. Grynkewich, Brian R. Butcher, Mark A. Durlam, Kelly Kyler, Kenneth H. Smith, Clarence J. Tracy
  • Patent number: 6908839
    Abstract: The invention relates to a dual masked spacer etch for improved dark current performance in imagers. After deposition of spacer material such as oxide, N-channel regions are first opened for N+ source/drain implant and P-channel regions are then opened for P+ source/drain implant. Prior to the N+ source/drain implant, the wafer receives a patterned first spacer etch. During this first spacer etch, the photosensor region is covered with resist. Prior to the P+ source/drain implant, a masked second spacer etch is performed. Again the photosensor region is protected with photoresist. In such a manner, spacers are formed on the gates of both the N-channel and P-channel transistors but in the photodiode region the spacer insulator remains.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: June 21, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Howard E. Rhodes